hostname: cluster052 [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --cflags libffi <.> set args --silence-errors --cflags libffi; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --libs libffi <.> set args --silence-errors --libs libffi; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --cflags tcl <.> set args --silence-errors --cflags tcl; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --libs tcl <.> set args --silence-errors --libs tcl; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] cc --version <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC --version -I/tmp/devel/yosys/work/.buildlink/include -pie [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --cflags libffi <.> set args --silence-errors --cflags libffi; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --libs libffi <.> set args --silence-errors --libs libffi; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --cflags tcl <.> set args --silence-errors --cflags tcl; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --libs tcl <.> set args --silence-errors --libs tcl; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] cc --version <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC --version -I/tmp/devel/yosys/work/.buildlink/include -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel <.> /bin/mkdir -p -p kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/common <.> /bin/mkdir -p -p techlibs/common [*] cc -o kernel/driver.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/driver.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/common <.> /bin/mkdir -p -p techlibs/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/rtlil.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/rtlil.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/log.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER '-DYOSYS_SRC="./"' kernel/log.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/log.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER '-DYOSYS_SRC="./"' kernel/log.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/calc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/calc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/yosys.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER '-DYOSYS_DATDIR="/usr/pkg/share/yosys"' '-DYOSYS_PROGRAM_PREFIX=""' kernel/yosys.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/yosys.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER '-DYOSYS_DATDIR="/usr/pkg/share/yosys"' '-DYOSYS_PROGRAM_PREFIX=""' kernel/yosys.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/binding.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/binding.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/cellaigs.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/cellaigs.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/celledges.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/celledges.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/satgen.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/satgen.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/qcsat.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/qcsat.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/mem.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/mem.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/ffmerge.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/ffmerge.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/ff.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/ff.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/yw.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/yw.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/json.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/json.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/fmt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/fmt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -o kernel/fstdata.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/fstdata.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/bigint/ <.> /bin/mkdir -p -p libs/bigint/ [*] cc -o libs/bigint/BigIntegerAlgorithms.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/bigint/BigIntegerAlgorithms.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/bigint/ <.> /bin/mkdir -p -p libs/bigint/ [*] cc -o libs/bigint/BigInteger.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/bigint/BigInteger.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/bigint/ <.> /bin/mkdir -p -p libs/bigint/ [*] cc -o libs/bigint/BigIntegerUtils.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/bigint/BigIntegerUtils.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/bigint/ <.> /bin/mkdir -p -p libs/bigint/ [*] cc -o libs/bigint/BigUnsigned.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/bigint/BigUnsigned.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/bigint/ <.> /bin/mkdir -p -p libs/bigint/ [*] cc -o libs/bigint/BigUnsignedInABase.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/bigint/BigUnsignedInABase.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/sha1/ <.> /bin/mkdir -p -p libs/sha1/ [*] cc -o libs/sha1/sha1.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/sha1/sha1.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/json11/ <.> /bin/mkdir -p -p libs/json11/ [*] cc -o libs/json11/json11.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/json11/json11.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/ezsat/ <.> /bin/mkdir -p -p libs/ezsat/ [*] cc -o libs/ezsat/ezsat.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/ezsat/ezsat.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/ezsat/ <.> /bin/mkdir -p -p libs/ezsat/ [*] cc -o libs/ezsat/ezminisat.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/ezsat/ezminisat.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/minisat/ <.> /bin/mkdir -p -p libs/minisat/ [*] cc -o libs/minisat/Options.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/minisat/Options.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/minisat/ <.> /bin/mkdir -p -p libs/minisat/ [*] cc -o libs/minisat/SimpSolver.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/minisat/SimpSolver.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/minisat/ <.> /bin/mkdir -p -p libs/minisat/ [*] cc -o libs/minisat/Solver.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/minisat/Solver.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/minisat/ <.> /bin/mkdir -p -p libs/minisat/ [*] cc -o libs/minisat/System.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/minisat/System.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/fst/ <.> /bin/mkdir -p -p libs/fst/ [*] cc -o libs/fst/fstapi.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/fst/fstapi.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/fst/ <.> /bin/mkdir -p -p libs/fst/ [*] cc -o libs/fst/fastlz.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/fst/fastlz.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/fst/ <.> /bin/mkdir -p -p libs/fst/ [*] cc -o libs/fst/lz4.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/fst/lz4.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p libs/subcircuit/ <.> /bin/mkdir -p -p libs/subcircuit/ [*] cc -o libs/subcircuit/subcircuit.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o libs/subcircuit/subcircuit.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/aiger/ <.> /bin/mkdir -p -p frontends/aiger/ [*] cc -o frontends/aiger/aigerparse.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/aiger/aigerparse.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/ast/ <.> /bin/mkdir -p -p frontends/ast/ [*] cc -o frontends/ast/ast.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/ast/ast.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/ast/ <.> /bin/mkdir -p -p frontends/ast/ [*] cc -o frontends/ast/simplify.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/ast/simplify.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/ast/ <.> /bin/mkdir -p -p frontends/ast/ [*] cc -o frontends/ast/genrtlil.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/ast/genrtlil.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/ast/ <.> /bin/mkdir -p -p frontends/ast/ [*] cc -o frontends/ast/dpicall.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/ast/dpicall.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/ast/ <.> /bin/mkdir -p -p frontends/ast/ [*] cc -o frontends/ast/ast_binding.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/ast/ast_binding.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/blif/ <.> /bin/mkdir -p -p frontends/blif/ [*] cc -o frontends/blif/blifparse.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/blif/blifparse.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/json/ <.> /bin/mkdir -p -p frontends/json/ [*] cc -o frontends/json/jsonparse.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/json/jsonparse.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/liberty/ <.> /bin/mkdir -p -p frontends/liberty/ [*] cc -o frontends/liberty/liberty.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/liberty/liberty.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/rpc/ <.> /bin/mkdir -p -p frontends/rpc/ [*] cc -o frontends/rpc/rpc_frontend.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/rpc/rpc_frontend.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/rtlil/ <.> /bin/mkdir -p -p frontends/rtlil/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/rtlil/ <.> /bin/mkdir -p -p frontends/rtlil/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/rtlil/ <.> /bin/mkdir -p -p frontends/rtlil/ [*] cc -o frontends/rtlil/rtlil_frontend.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/rtlil/rtlil_frontend.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verific/ <.> /bin/mkdir -p -p frontends/verific/ [*] cc -o frontends/verific/verific.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/verific/verific.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ [*] cc -o frontends/verilog/preproc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/verilog/preproc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ [*] cc -o frontends/verilog/verilog_frontend.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/verilog/verilog_frontend.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc [*] cc -o frontends/verilog/const2ast.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/verilog/const2ast.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/exec.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/exec.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/add.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/add.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/delete.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/delete.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/design.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/design.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/select.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/select.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/show.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/show.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/viz.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/viz.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/rename.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/rename.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/autoname.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/autoname.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/connect.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/connect.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/scatter.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/scatter.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/setundef.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/setundef.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/splitnets.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/splitnets.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/splitcells.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/splitcells.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/stat.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/stat.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/setattr.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/setattr.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/copy.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/copy.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/splice.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/splice.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/scc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/scc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/glift.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/glift.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/torder.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/torder.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/logcmd.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/logcmd.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/tee.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/tee.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/write_file.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/write_file.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/connwrappers.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/connwrappers.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/cover.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/cover.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/trace.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/trace.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/plugin.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/plugin.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/check.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/check.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/qwp.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/qwp.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/edgetypes.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/edgetypes.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/portlist.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/portlist.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/chformal.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/chformal.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/chtype.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/chtype.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/blackbox.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/blackbox.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/ltp.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/ltp.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/bugpoint.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/bugpoint.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/scratchpad.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/scratchpad.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/logger.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/logger.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/printattrs.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/printattrs.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/sta.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/sta.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/clean_zerowidth.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/clean_zerowidth.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/xprop.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/xprop.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/dft_tag.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/dft_tag.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/cmds/ <.> /bin/mkdir -p -p passes/cmds/ [*] cc -o passes/cmds/future.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/cmds/future.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_make.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_make.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_miter.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_miter.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_simple.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_simple.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_status.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_status.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_add.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_add.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_remove.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_remove.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_induct.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_induct.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_struct.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_struct.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_purge.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_purge.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_mark.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_mark.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/equiv/ <.> /bin/mkdir -p -p passes/equiv/ [*] cc -o passes/equiv/equiv_opt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/equiv/equiv_opt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_detect.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_detect.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_extract.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_extract.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_opt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_opt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_expand.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_expand.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_recode.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_recode.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_info.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_info.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_export.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_export.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/fsm/ <.> /bin/mkdir -p -p passes/fsm/ [*] cc -o passes/fsm/fsm_map.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/fsm/fsm_map.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/hierarchy/ <.> /bin/mkdir -p -p passes/hierarchy/ [*] cc -o passes/hierarchy/hierarchy.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/hierarchy/hierarchy.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/hierarchy/ <.> /bin/mkdir -p -p passes/hierarchy/ [*] cc -o passes/hierarchy/uniquify.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/hierarchy/uniquify.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/hierarchy/ <.> /bin/mkdir -p -p passes/hierarchy/ [*] cc -o passes/hierarchy/submod.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/hierarchy/submod.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_dff.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_dff.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_share.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_share.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_collect.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_collect.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_unpack.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_unpack.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_bram.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_bram.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_map.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_map.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_memx.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_memx.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_nordff.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_nordff.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_narrow.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_narrow.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_libmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_libmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memory_bmux2rom.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memory_bmux2rom.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/memory/ <.> /bin/mkdir -p -p passes/memory/ [*] cc -o passes/memory/memlib.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/memory/memlib.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_merge.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_merge.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_mem.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_mem.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_mem_feedback.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_mem_feedback.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_mem_priority.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_mem_priority.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_mem_widen.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_mem_widen.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_muxtree.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_muxtree.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_reduce.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_reduce.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc [*] cc -o passes/opt/opt_dff.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_dff.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_share.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_share.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_clean.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_clean.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_expr.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_expr.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/share.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/share.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/wreduce.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/wreduce.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_demorgan.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_demorgan.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/rmports.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/rmports.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_lut.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_lut.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_lut_ins.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_lut_ins.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/opt_ffinv.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/opt_ffinv.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/pmux2shiftx.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/pmux2shiftx.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/opt/ <.> /bin/mkdir -p -p passes/opt/ [*] cc -o passes/opt/muxpack.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/opt/muxpack.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen <.> /bin/mkdir -p -p passes/pmgen [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] cc -o passes/pmgen/ice40_dsp.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/pmgen/ice40_dsp.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] cc -o passes/pmgen/peepopt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/pmgen/peepopt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] cc -o passes/pmgen/xilinx_srl.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/pmgen/xilinx_srl.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_prune.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_prune.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_clean.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_clean.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_rmdead.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_rmdead.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_init.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_init.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_arst.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_arst.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_rom.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_rom.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_mux.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_mux.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_dlatch.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_dlatch.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_dff.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_dff.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/proc/ <.> /bin/mkdir -p -p passes/proc/ [*] cc -o passes/proc/proc_memwr.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/proc/proc_memwr.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/sat.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/sat.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/freduce.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/freduce.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/eval.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/eval.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/sim.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/sim.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/miter.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/miter.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/expose.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/expose.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/assertpmux.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/assertpmux.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/clk2fflogic.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/clk2fflogic.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/async2sync.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/async2sync.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/formalff.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/formalff.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/supercover.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/supercover.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/fmcombine.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/fmcombine.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/mutate.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/mutate.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/cutpoint.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/cutpoint.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/fminit.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/fminit.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/recover_names.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/recover_names.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/qbfsat.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/qbfsat.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/sat/ <.> /bin/mkdir -p -p passes/sat/ [*] cc -o passes/sat/synthprop.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/sat/synthprop.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/flatten.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/flatten.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/techmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/techmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/simplemap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/simplemap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/dfflibmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/dfflibmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/maccmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/maccmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/booth.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/booth.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/libparse.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/libparse.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/abc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/abc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/abc9.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/abc9.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/abc9_exe.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_exe.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/abc9_exe.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_exe.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/abc9_ops.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/abc9_ops.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/iopadmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/iopadmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/clkbufmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/clkbufmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/hilomap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/hilomap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/extract.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/extract.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/extract_fa.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/extract_fa.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/extract_counter.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/extract_counter.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/extract_reduce.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/extract_reduce.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/alumacc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/alumacc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/dffinit.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/dffinit.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/pmuxtree.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/pmuxtree.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/bmuxmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/bmuxmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/demuxmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/demuxmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/bwmuxmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/bwmuxmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/muxcover.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/muxcover.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/aigmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/aigmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/tribuf.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/tribuf.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc [*] cc -o passes/techmap/lut2mux.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/lut2mux.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/nlutmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/nlutmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/deminout.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/deminout.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc [*] cc -o passes/techmap/shregmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/shregmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/insbuf.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/insbuf.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/attrmvcp.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/attrmvcp.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/attrmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/attrmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/zinit.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/zinit.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/dfflegalize.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/dfflegalize.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/dffunmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/dffunmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/flowmap.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/flowmap.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/extractinv.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/extractinv.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/tests/ <.> /bin/mkdir -p -p passes/tests/ [*] cc -o passes/tests/test_autotb.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/tests/test_autotb.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/tests/ <.> /bin/mkdir -p -p passes/tests/ [*] cc -o passes/tests/test_cell.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/tests/test_cell.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/tests/ <.> /bin/mkdir -p -p passes/tests/ [*] cc -o passes/tests/test_abcloop.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/tests/test_abcloop.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/aiger/ <.> /bin/mkdir -p -p backends/aiger/ [*] cc -o backends/aiger/aiger.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/aiger/aiger.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/aiger/ <.> /bin/mkdir -p -p backends/aiger/ [*] cc -o backends/aiger/xaiger.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/aiger/xaiger.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/blif/ <.> /bin/mkdir -p -p backends/blif/ [*] cc -o backends/blif/blif.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/blif/blif.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/btor/ <.> /bin/mkdir -p -p backends/btor/ [*] cc -o backends/btor/btor.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/btor/btor.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/cxxrtl/ <.> /bin/mkdir -p -p backends/cxxrtl/ [*] cc -o backends/cxxrtl/cxxrtl_backend.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/cxxrtl/cxxrtl_backend.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/edif/ <.> /bin/mkdir -p -p backends/edif/ [*] cc -o backends/edif/edif.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/edif/edif.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/firrtl/ <.> /bin/mkdir -p -p backends/firrtl/ [*] cc -o backends/firrtl/firrtl.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/firrtl/firrtl.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/intersynth/ <.> /bin/mkdir -p -p backends/intersynth/ [*] cc -o backends/intersynth/intersynth.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/intersynth/intersynth.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/jny/ <.> /bin/mkdir -p -p backends/jny/ [*] cc -o backends/jny/jny.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/jny/jny.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/json/ <.> /bin/mkdir -p -p backends/json/ [*] cc -o backends/json/json.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/json/json.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/rtlil/ <.> /bin/mkdir -p -p backends/rtlil/ [*] cc -o backends/rtlil/rtlil_backend.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/rtlil/rtlil_backend.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/simplec/ <.> /bin/mkdir -p -p backends/simplec/ [*] cc -o backends/simplec/simplec.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/simplec/simplec.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/smt2/ <.> /bin/mkdir -p -p backends/smt2/ [*] cc -o backends/smt2/smt2.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/smt2/smt2.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/smv/ <.> /bin/mkdir -p -p backends/smv/ [*] cc -o backends/smv/smv.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/smv/smv.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/spice/ <.> /bin/mkdir -p -p backends/spice/ [*] cc -o backends/spice/spice.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/spice/spice.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/table/ <.> /bin/mkdir -p -p backends/table/ [*] cc -o backends/table/table.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/table/table.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p backends/verilog/ <.> /bin/mkdir -p -p backends/verilog/ [*] cc -o backends/verilog/verilog_backend.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o backends/verilog/verilog_backend.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/achronix/ <.> /bin/mkdir -p -p techlibs/achronix/ [*] cc -o techlibs/achronix/synth_achronix.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/achronix/synth_achronix.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/anlogic/ <.> /bin/mkdir -p -p techlibs/anlogic/ [*] cc -o techlibs/anlogic/synth_anlogic.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/anlogic/synth_anlogic.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/anlogic/ <.> /bin/mkdir -p -p techlibs/anlogic/ [*] cc -o techlibs/anlogic/anlogic_eqn.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/anlogic/anlogic_eqn.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/anlogic/ <.> /bin/mkdir -p -p techlibs/anlogic/ [*] cc -o techlibs/anlogic/anlogic_fixcarry.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/anlogic/anlogic_fixcarry.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/common/ <.> /bin/mkdir -p -p techlibs/common/ [*] cc -o techlibs/common/synth.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/common/synth.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/common/ <.> /bin/mkdir -p -p techlibs/common/ [*] cc -o techlibs/common/prep.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/common/prep.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/coolrunner2/ <.> /bin/mkdir -p -p techlibs/coolrunner2/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/coolrunner2/ <.> /bin/mkdir -p -p techlibs/coolrunner2/ [*] cc -o techlibs/coolrunner2/coolrunner2_sop.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/coolrunner2/coolrunner2_sop.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc [*] cc -o techlibs/coolrunner2/synth_coolrunner2.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/coolrunner2/synth_coolrunner2.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/coolrunner2/ <.> /bin/mkdir -p -p techlibs/coolrunner2/ [*] cc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/coolrunner2/coolrunner2_fixup.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/easic/ <.> /bin/mkdir -p -p techlibs/easic/ [*] cc -o techlibs/easic/synth_easic.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/easic/synth_easic.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/ecp5/ <.> /bin/mkdir -p -p techlibs/ecp5/ [*] cc -o techlibs/ecp5/synth_ecp5.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/ecp5/synth_ecp5.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/efinix/ <.> /bin/mkdir -p -p techlibs/efinix/ [*] cc -o techlibs/efinix/synth_efinix.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/efinix/synth_efinix.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/efinix/ <.> /bin/mkdir -p -p techlibs/efinix/ [*] cc -o techlibs/efinix/efinix_fixcarry.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/efinix/efinix_fixcarry.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/fabulous/ <.> /bin/mkdir -p -p techlibs/fabulous/ [*] cc -o techlibs/fabulous/synth_fabulous.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/fabulous/synth_fabulous.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/gatemate/ <.> /bin/mkdir -p -p techlibs/gatemate/ [*] cc -o techlibs/gatemate/synth_gatemate.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/gatemate/synth_gatemate.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/gatemate/ <.> /bin/mkdir -p -p techlibs/gatemate/ [*] cc -o techlibs/gatemate/gatemate_foldinv.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/gatemate/gatemate_foldinv.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/gowin/ <.> /bin/mkdir -p -p techlibs/gowin/ [*] cc -o techlibs/gowin/synth_gowin.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/gowin/synth_gowin.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/greenpak4/ <.> /bin/mkdir -p -p techlibs/greenpak4/ [*] cc -o techlibs/greenpak4/synth_greenpak4.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/greenpak4/synth_greenpak4.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/greenpak4/ <.> /bin/mkdir -p -p techlibs/greenpak4/ [*] cc -o techlibs/greenpak4/greenpak4_dffinv.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/greenpak4/greenpak4_dffinv.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/ice40/ <.> /bin/mkdir -p -p techlibs/ice40/ [*] cc -o techlibs/ice40/synth_ice40.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/ice40/synth_ice40.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/ice40/ <.> /bin/mkdir -p -p techlibs/ice40/ [*] cc -o techlibs/ice40/ice40_braminit.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/ice40/ice40_braminit.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/ice40/ <.> /bin/mkdir -p -p techlibs/ice40/ [*] cc -o techlibs/ice40/ice40_opt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/ice40/ice40_opt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/intel/ <.> /bin/mkdir -p -p techlibs/intel/ [*] cc -o techlibs/intel/synth_intel.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/intel/synth_intel.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/intel_alm/ <.> /bin/mkdir -p -p techlibs/intel_alm/ [*] cc -o techlibs/intel_alm/synth_intel_alm.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/intel_alm/synth_intel_alm.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/lattice/ <.> /bin/mkdir -p -p techlibs/lattice/ [*] cc -o techlibs/lattice/synth_lattice.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/lattice/synth_lattice.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/lattice/ <.> /bin/mkdir -p -p techlibs/lattice/ [*] cc -o techlibs/lattice/lattice_gsr.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/lattice/lattice_gsr.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/nexus/ <.> /bin/mkdir -p -p techlibs/nexus/ [*] cc -o techlibs/nexus/synth_nexus.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/nexus/synth_nexus.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] cc -o techlibs/quicklogic/synth_quicklogic.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/quicklogic/synth_quicklogic.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] cc -o techlibs/quicklogic/ql_bram_merge.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/quicklogic/ql_bram_merge.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] cc -o techlibs/quicklogic/ql_bram_types.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/quicklogic/ql_bram_types.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] cc -o techlibs/quicklogic/ql_dsp_simd.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/quicklogic/ql_dsp_simd.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] cc -o techlibs/quicklogic/ql_dsp_io_regs.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/quicklogic/ql_dsp_io_regs.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/sf2/ <.> /bin/mkdir -p -p techlibs/sf2/ [*] cc -o techlibs/sf2/synth_sf2.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/sf2/synth_sf2.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/xilinx/ <.> /bin/mkdir -p -p techlibs/xilinx/ [*] cc -o techlibs/xilinx/synth_xilinx.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/xilinx/synth_xilinx.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/xilinx/ <.> /bin/mkdir -p -p techlibs/xilinx/ [*] cc -o techlibs/xilinx/xilinx_dffopt.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/xilinx/xilinx_dffopt.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc [*] cc -dumpversion <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -dumpversion -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -dumpversion <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -dumpversion -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -dumpversion <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -dumpversion -I/tmp/devel/yosys/work/.buildlink/include -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/techmap/ <.> /bin/mkdir -p -p passes/techmap/ [*] cc -o passes/techmap/filterlib.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/techmap/filterlib.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -pie <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -pie <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/kernel/ <.> /bin/mkdir -p -p share/include/kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/libs/ezsat/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/libs/ezsat/ <.> /bin/mkdir -p -p share/include/libs/ezsat/ <.> /bin/mkdir -p -p share/include/libs/ezsat/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/libs/fst/ <.> /bin/mkdir -p -p share/include/libs/fst/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/libs/sha1/ <.> /bin/mkdir -p -p share/include/libs/sha1/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/libs/json11/ <.> /bin/mkdir -p -p share/include/libs/json11/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/passes/fsm/ <.> /bin/mkdir -p -p share/include/passes/fsm/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/frontends/ast/ <.> /bin/mkdir -p -p share/include/frontends/ast/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/frontends/ast/ <.> /bin/mkdir -p -p share/include/frontends/ast/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/frontends/blif/ <.> /bin/mkdir -p -p share/include/frontends/blif/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/rtlil/ <.> /bin/mkdir -p -p share/include/backends/rtlil/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ <.> /bin/mkdir -p -p share/include/backends/cxxrtl/runtime/cxxrtl/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ <.> /bin/mkdir -p -p share/include/backends/cxxrtl/runtime/cxxrtl/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ <.> /bin/mkdir -p -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ <.> /bin/mkdir -p -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ <.> /bin/mkdir -p -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ <.> /bin/mkdir -p -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/python3 <.> /bin/mkdir -p -p share/python3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/python3 <.> /bin/mkdir -p -p share/python3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/achronix/speedster22i/ <.> /bin/mkdir -p -p share/achronix/speedster22i/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/achronix/speedster22i/ <.> /bin/mkdir -p -p share/achronix/speedster22i/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/anlogic <.> /bin/mkdir -p -p share/anlogic [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share <.> /bin/mkdir -p -p share [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -pie <.> /bin/mkdir -p -p share [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/coolrunner2 <.> /bin/mkdir -p -p share/coolrunner2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/coolrunner2 <.> /bin/mkdir -p -p share/coolrunner2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/coolrunner2 <.> /bin/mkdir -p -p share/coolrunner2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/coolrunner2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -pie <.> /bin/mkdir -p -p share/coolrunner2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/coolrunner2 <.> /bin/mkdir -p -p share/coolrunner2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -pie <.> /bin/mkdir -p -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 <.> /bin/mkdir -p -p share/ecp5 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ecp5 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -pie <.> /bin/mkdir -p -p share/ecp5 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/efinix [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/efinix <.> /bin/mkdir -p -p share/efinix [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -pie <.> /bin/mkdir -p -p share/efinix [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/efinix <.> /bin/mkdir -p -p share/efinix [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/efinix <.> /bin/mkdir -p -p share/efinix [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/efinix <.> /bin/mkdir -p -p share/efinix [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/efinix <.> /bin/mkdir -p -p share/efinix [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/fabulous <.> /bin/mkdir -p -p share/fabulous [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -pie <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/gatemate <.> /bin/mkdir -p -p techlibs/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -pie <.> /bin/mkdir -p -p share/gowin <.> /bin/mkdir -p -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin <.> /bin/mkdir -p -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin <.> /bin/mkdir -p -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin <.> /bin/mkdir -p -p share/gowin [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin <.> /bin/mkdir -p -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -pie <.> /bin/mkdir -p -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -pie <.> /bin/mkdir -p -p share/gowin [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 <.> /bin/mkdir -p -p share/greenpak4 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -pie <.> /bin/mkdir -p -p share/greenpak4 <.> /bin/mkdir -p -p share/greenpak4 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -pie <.> /bin/mkdir -p -p share/greenpak4 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 <.> /bin/mkdir -p -p share/greenpak4 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 <.> /bin/mkdir -p -p share/greenpak4 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 <.> /bin/mkdir -p -p share/greenpak4 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/greenpak4 <.> /bin/mkdir -p -p share/greenpak4 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/ice40 <.> /bin/mkdir -p -p share/ice40 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/common <.> /bin/mkdir -p -p share/intel/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/common <.> /bin/mkdir -p -p share/intel/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/common <.> /bin/mkdir -p -p share/intel/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -pie <.> /bin/mkdir -p -p share/intel/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/common <.> /bin/mkdir -p -p share/intel/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/max10 <.> /bin/mkdir -p -p share/intel/max10 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/cyclone10lp <.> /bin/mkdir -p -p share/intel/cyclone10lp [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/cycloneiv <.> /bin/mkdir -p -p share/intel/cycloneiv [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/cycloneive <.> /bin/mkdir -p -p share/intel/cycloneive [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/max10 <.> /bin/mkdir -p -p share/intel/max10 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/cyclone10lp <.> /bin/mkdir -p -p share/intel/cyclone10lp [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/cycloneiv <.> /bin/mkdir -p -p share/intel/cycloneiv [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel/cycloneive <.> /bin/mkdir -p -p share/intel/cycloneive [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -pie <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -pie <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/cyclonev <.> /bin/mkdir -p -p share/intel_alm/cyclonev [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -pie <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/intel_alm/common <.> /bin/mkdir -p -p share/intel_alm/common [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -pie <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -pie <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -pie <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -pie <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/lattice <.> /bin/mkdir -p -p share/lattice [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/blocksort.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/blocksort.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/bzlib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/bzlib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/compress.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/compress.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/crctable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/crctable.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/decompress.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/decompress.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/huffman.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/huffman.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/randtable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/randtable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/adler32.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/adler32.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/compress_.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/compress_.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/crc32.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/crc32.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/nexus <.> /bin/mkdir -p -p share/nexus [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/deflate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/deflate.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/common <.> /bin/mkdir -p -p share/quicklogic/common [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzclose.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzclose.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzlib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzlib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzread.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzread.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzwrite.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzwrite.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/infback.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/infback.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inffast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inffast.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inflate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inflate.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inftrees.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inftrees.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/trees.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/trees.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/zutil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/zutil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/uncompr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/uncompr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -pie <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/pp3 <.> /bin/mkdir -p -p share/quicklogic/pp3 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -pie <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/qlf_k6n10f/ <.> /bin/mkdir -p -p techlibs/quicklogic/qlf_k6n10f/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -pie <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -pie <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/sf2 <.> /bin/mkdir -p -p share/sf2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/sf2 <.> /bin/mkdir -p -p share/sf2 [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/sf2 <.> /bin/mkdir -p -p share/sf2 [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -pie <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/xilinx <.> /bin/mkdir -p -p share/xilinx [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ <.> /bin/mkdir -p -p kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -pie [*] cc -o kernel/version_543faed9c8c.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_543faed9c8c.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/version_543faed9c8c.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_543faed9c8c.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p kernel/ [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/rtlil/ <.> /bin/mkdir -p -p kernel/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -pie <.> /bin/mkdir -p -p frontends/rtlil/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -pie [*] cc -o kernel/register.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o kernel/register.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc [*] cc -o frontends/rtlil/rtlil_parser.tab.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/rtlil/rtlil_parser.tab.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/rtlil/ <.> /bin/mkdir -p -p frontends/rtlil/ [*] cc -o frontends/rtlil/rtlil_lexer.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/rtlil/rtlil_lexer.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ [*] cc -o frontends/verilog/verilog_parser.tab.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/verilog/verilog_parser.tab.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] cc -o passes/pmgen/test_pmgen.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/pmgen/test_pmgen.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -pie [*] cc -o passes/pmgen/ice40_wrapcarry.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/pmgen/ice40_wrapcarry.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p passes/pmgen/ <.> /bin/mkdir -p -p passes/pmgen/ [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -pie [*] cc -o passes/pmgen/xilinx_dsp.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o passes/pmgen/xilinx_dsp.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p techlibs/quicklogic/ <.> /bin/mkdir -p -p techlibs/quicklogic/ [*] cc -o techlibs/quicklogic/ql_dsp_macc.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o techlibs/quicklogic/ql_dsp_macc.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p ./ <.> /bin/mkdir -p -p ./ [*] cc -o yosys-filterlib -Wl,-zrelro -L/usr/lib -Wl,-R/usr/lib -L/usr/pkg/lib -Wl,-R/usr/pkg/lib -L/usr/X11R7/lib -Wl,-R/usr/X11R7/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -lz -ltcl <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o yosys-filterlib -Wl,-zrelro -L/tmp/devel/yosys/work/.buildlink/lib -Wl,-rpath,/usr/pkg/lib -L/tmp/devel/yosys/work/.x11-buildlink/lib -Wl,-rpath,/usr/X11R7/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -lz -ltcl86 -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/gatemate <.> /bin/mkdir -p -p share/gatemate [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p share/quicklogic/qlf_k6n10f <.> /bin/mkdir -p -p share/quicklogic/qlf_k6n10f [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p frontends/verilog/ <.> /bin/mkdir -p -p frontends/verilog/ [*] cc -o frontends/verilog/verilog_lexer.o -c -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -I/usr/pkg/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -I/usr/local/include -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/local/include/tcl -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o frontends/verilog/verilog_lexer.o -c -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -O2 -Wall -Wextra -ggdb -I. -I./ -MD -MP -D_YOSYS_ -fPIC -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -pie [*] cc -o yosys -Wl,-zrelro -L/usr/lib -Wl,-R/usr/lib -L/usr/pkg/lib -Wl,-R/usr/pkg/lib -L/usr/X11R7/lib -Wl,-R/usr/X11R7/lib -rdynamic kernel/version_543faed9c8c.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -lz -ltcl <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o yosys -Wl,-zrelro -L/tmp/devel/yosys/work/.buildlink/lib -Wl,-rpath,/usr/pkg/lib -L/tmp/devel/yosys/work/.x11-buildlink/lib -Wl,-rpath,/usr/X11R7/lib -rdynamic kernel/version_543faed9c8c.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -lz -ltcl86 -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -pie [*] cc -MM -MG -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -MM -MG -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -pie [*] cc -dumpversion <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -dumpversion -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -dumpversion <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -dumpversion -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -dumpversion <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -dumpversion -I/tmp/devel/yosys/work/.buildlink/include -pie [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -o src/base/abc/abcFunc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -o src/base/abc/abcFunc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -o src/base/abci/abcDebug.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -o src/base/abci/abcDebug.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -o src/base/abci/abcDress2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -o src/base/abci/abcDress2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -o src/base/abci/abcDress3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -o src/base/abci/abcDress3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -o src/base/abci/abcFraig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -o src/base/abci/abcFraig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -o src/base/abci/abcLog.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -o src/base/abci/abcLog.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -o src/base/abci/abcMerge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -o src/base/abci/abcMerge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -o src/base/abci/abcNtbdd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -o src/base/abci/abcNtbdd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -o src/base/abci/abcNpn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -o src/base/abci/abcNpn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -o src/base/abci/abcNpnSave.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -o src/base/abci/abcNpnSave.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c -o src/base/abci/abcOrchestration.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrchestration.c -o src/base/abci/abcOrchestration.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -o src/base/abci/abcOdc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -o src/base/abci/abcOdc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -o src/base/io/ioReadBlifAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -o src/base/io/ioReadBlifAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -o src/base/io/ioWriteEdgelist.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -o src/base/io/ioWriteEdgelist.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -o src/base/wln/wlnRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -o src/base/wln/wlnRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -o src/base/pla/plaRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -o src/base/pla/plaRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -o src/map/mapper/mapperSuper.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -o src/map/mapper/mapperSuper.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -o src/map/mapper/mapperSwitch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -o src/map/mapper/mapperSwitch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -o src/map/if/ifTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -o src/map/if/ifTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -o src/map/amap/amapGraph.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -o src/map/amap/amapGraph.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -o src/map/amap/amapLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -o src/map/amap/amapLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -o src/map/amap/amapPerm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -o src/map/amap/amapPerm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -o src/map/amap/amapRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -o src/map/amap/amapRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -o src/map/amap/amapRule.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -o src/map/amap/amapRule.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -o src/map/amap/amapUniq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -o src/map/amap/amapUniq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -o src/map/mpm/mpmUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -o src/map/mpm/mpmUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -o src/misc/util/utilSort.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -o src/misc/util/utilSort.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -o src/misc/nm/nmApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -o src/misc/nm/nmApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -o src/misc/nm/nmTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -o src/misc/nm/nmTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -o src/misc/tim/timBox.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -o src/misc/tim/timBox.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/blocksort.c -o src/misc/bzlib/blocksort.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/blocksort.c -o src/misc/bzlib/blocksort.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/bzlib.c -o src/misc/bzlib/bzlib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/bzlib.c -o src/misc/bzlib/bzlib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/compress.c -o src/misc/bzlib/compress.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/compress.c -o src/misc/bzlib/compress.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/crctable.c -o src/misc/bzlib/crctable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/crctable.c -o src/misc/bzlib/crctable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/decompress.c -o src/misc/bzlib/decompress.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/decompress.c -o src/misc/bzlib/decompress.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/huffman.c -o src/misc/bzlib/huffman.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/huffman.c -o src/misc/bzlib/huffman.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/randtable.c -o src/misc/bzlib/randtable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bzlib/randtable.c -o src/misc/bzlib/randtable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/adler32.c -o src/misc/zlib/adler32.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/adler32.c -o src/misc/zlib/adler32.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/compress_.c -o src/misc/zlib/compress_.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/compress_.c -o src/misc/zlib/compress_.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/crc32.c -o src/misc/zlib/crc32.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/crc32.c -o src/misc/zlib/crc32.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/deflate.c -o src/misc/zlib/deflate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/deflate.c -o src/misc/zlib/deflate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzclose.c -o src/misc/zlib/gzclose.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzclose.c -o src/misc/zlib/gzclose.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzlib.c -o src/misc/zlib/gzlib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzlib.c -o src/misc/zlib/gzlib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzread.c -o src/misc/zlib/gzread.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzread.c -o src/misc/zlib/gzread.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzwrite.c -o src/misc/zlib/gzwrite.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/gzwrite.c -o src/misc/zlib/gzwrite.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/infback.c -o src/misc/zlib/infback.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/infback.c -o src/misc/zlib/infback.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inffast.c -o src/misc/zlib/inffast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inffast.c -o src/misc/zlib/inffast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inflate.c -o src/misc/zlib/inflate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inflate.c -o src/misc/zlib/inflate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inftrees.c -o src/misc/zlib/inftrees.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/inftrees.c -o src/misc/zlib/inftrees.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/trees.c -o src/misc/zlib/trees.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/trees.c -o src/misc/zlib/trees.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/uncompr.c -o src/misc/zlib/uncompr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/uncompr.c -o src/misc/zlib/uncompr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/zutil.c -o src/misc/zlib/zutil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/zlib/zutil.c -o src/misc/zlib/zutil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -o src/opt/fxu/fxuCreate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -o src/opt/fxu/fxuCreate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -o src/opt/mfs/mfsWin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -o src/opt/mfs/mfsWin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -o src/opt/nwk/nwkSpeedup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -o src/opt/nwk/nwkSpeedup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -o src/opt/nwk/nwkStrash.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -o src/opt/nwk/nwkStrash.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -o src/opt/nwk/nwkTiming.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -o src/opt/nwk/nwkTiming.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -o src/opt/dau/dauMerge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -o src/opt/dau/dauMerge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -o src/opt/dau/dauNonDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -o src/opt/dau/dauNonDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -o src/sat/bmc/bmcExpand.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -o src/sat/bmc/bmcExpand.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -o src/sat/bmc/bmcFault.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -o src/sat/bmc/bmcFault.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -o src/sat/bmc/bmcFx.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -o src/sat/bmc/bmcFx.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -o src/proof/acec/acecCo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -o src/proof/acec/acecCo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -o src/proof/dch/dchSweep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -o src/proof/dch/dchSweep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -o src/proof/fraig/fraigApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -o src/proof/fraig/fraigApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -o src/proof/fraig/fraigCanon.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -o src/proof/fraig/fraigCanon.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -o src/aig/gia/giaGen.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -o src/aig/gia/giaGen.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o [*] cc -c -g -O -I./src -O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -c -g -O -I./src -O2 -I/tmp/devel/yosys/work/.buildlink/include/python3.11 -I/tmp/devel/yosys/work/.buildlink/include -I/tmp/devel/yosys/work/.x11-buildlink/include -I/tmp/devel/yosys/work/.buildlink/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o [*] cc -o abc-896e5e7 src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOrchestration.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/bzlib/blocksort.o src/misc/bzlib/bzlib.o src/misc/bzlib/compress.o src/misc/bzlib/crctable.o src/misc/bzlib/decompress.o src/misc/bzlib/huffman.o src/misc/bzlib/randtable.o src/misc/zlib/adler32.o src/misc/zlib/compress_.o src/misc/zlib/crc32.o src/misc/zlib/deflate.o src/misc/zlib/gzclose.o src/misc/zlib/gzlib.o src/misc/zlib/gzread.o src/misc/zlib/gzwrite.o src/misc/zlib/infback.o src/misc/zlib/inffast.o src/misc/zlib/inflate.o src/misc/zlib/inftrees.o src/misc/zlib/trees.o src/misc/zlib/uncompr.o src/misc/zlib/zutil.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -Wl,-zrelro -L/usr/lib -Wl,-R/usr/lib -L/usr/pkg/lib -Wl,-R/usr/pkg/lib -L/usr/X11R7/lib -Wl,-R/usr/X11R7/lib -rdynamic -lreadline -lpthread -lm -lstdc++ <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC -o abc-896e5e7 src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOrchestration.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/bzlib/blocksort.o src/misc/bzlib/bzlib.o src/misc/bzlib/compress.o src/misc/bzlib/crctable.o src/misc/bzlib/decompress.o src/misc/bzlib/huffman.o src/misc/bzlib/randtable.o src/misc/zlib/adler32.o src/misc/zlib/compress_.o src/misc/zlib/crc32.o src/misc/zlib/deflate.o src/misc/zlib/gzclose.o src/misc/zlib/gzlib.o src/misc/zlib/gzread.o src/misc/zlib/gzwrite.o src/misc/zlib/infback.o src/misc/zlib/inffast.o src/misc/zlib/inflate.o src/misc/zlib/inftrees.o src/misc/zlib/trees.o src/misc/zlib/uncompr.o src/misc/zlib/zutil.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -Wl,-zrelro -L/tmp/devel/yosys/work/.buildlink/lib -Wl,-rpath,/usr/pkg/lib -L/tmp/devel/yosys/work/.x11-buildlink/lib -Wl,-rpath,/usr/X11R7/lib -rdynamic -lreadline -lpthread -lm -lstdc++ -I/tmp/devel/yosys/work/.buildlink/include -pie [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --cflags libffi <.> set args --silence-errors --cflags libffi; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --libs libffi <.> set args --silence-errors --libs libffi; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --cflags tcl <.> set args --silence-errors --cflags tcl; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] /tmp/devel/yosys/work/.tools/bin/pkg-config --silence-errors --libs tcl <.> set args --silence-errors --libs tcl; shift; PKG_CONFIG_LIBDIR=/tmp/devel/yosys/work/.buildlink/lib/pkgconfig:/tmp/devel/yosys/work/.buildlink/share/pkgconfig false "$@" [*] cc --version <.> /tmp/devel/yosys/work/.gcc/bin/gcc -fcommon -fstack-protector-strong -D_FORTIFY_SOURCE=2 -Wl,-zrelro -fPIC --version -I/tmp/devel/yosys/work/.buildlink/include -pie [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p /tmp/devel/yosys/work/.destdir/usr/pkg/bin <.> /bin/mkdir -p -p /tmp/devel/yosys/work/.destdir/usr/pkg/bin [*] /tmp/devel/yosys/work/.tools/bin/mkdir -p /tmp/devel/yosys/work/.destdir/usr/pkg/share/yosys <.> /bin/mkdir -p -p /tmp/devel/yosys/work/.destdir/usr/pkg/share/yosys