=> Bootstrap dependency digest>=20211023: found digest-20220214 ===> Skipping vulnerability checks. WARNING: No /usr/pkg/pkgdb/pkg-vulnerabilities file found. WARNING: To fix run: `/usr/sbin/pkg_admin -K /usr/pkg/pkgdb fetch-pkg-vulnerabilities'. ===> Building for yosys-0.38nb1 [Makefile.conf] CONFIG := gcc sh: bash: not found sh: bash: not found [ 0%] Building kernel/version_543faed9c8c.cc [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 1%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 2%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 3%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1268:32: warning: 'undef_srst' may be used uninitialized in this function [-Wmaybe-uninitialized] std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1254:32: warning: 'undef_ce' may be used uninitialized in this function [-Wmaybe-uninitialized] std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1240:32: warning: 'undef_srst' may be used uninitialized in this function [-Wmaybe-uninitialized] std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 4%] Building kernel/ff.o [ 5%] Building kernel/yw.o [ 5%] Building kernel/json.o [ 5%] Building kernel/fmt.o [ 5%] Building kernel/fstdata.o [ 6%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 6%] Building libs/bigint/BigIntegerUtils.o [ 7%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 7%] Building libs/sha1/sha1.o [ 8%] Building libs/json11/json11.o [ 8%] Building libs/ezsat/ezsat.o [ 8%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 9%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o [ 10%] Building libs/fst/fstapi.o [ 10%] Building libs/fst/fastlz.o [ 10%] Building libs/fst/lz4.o [ 11%] Building libs/subcircuit/subcircuit.o [ 11%] Building frontends/aiger/aigerparse.o [ 11%] Building frontends/ast/ast.o [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 12%] Building frontends/ast/dpicall.o [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o [ 13%] Building frontends/json/jsonparse.o [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 15%] Building frontends/verific/verific.o [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o [ 16%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 17%] Building passes/cmds/exec.o [ 17%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 18%] Building passes/cmds/design.o [ 18%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/viz.o [ 19%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 20%] Building passes/cmds/scatter.o [ 20%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 21%] Building passes/cmds/splitcells.o [ 21%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 22%] Building passes/cmds/copy.o [ 22%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/glift.o [ 23%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 24%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 25%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o [ 26%] Building passes/cmds/qwp.o [ 26%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 27%] Building passes/cmds/chformal.o [ 27%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 28%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 29%] Building passes/cmds/logger.o [ 29%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 30%] Building passes/cmds/clean_zerowidth.o [ 30%] Building passes/cmds/xprop.o [ 30%] Building passes/cmds/dft_tag.o [ 31%] Building passes/cmds/future.o [ 31%] Building passes/equiv/equiv_make.o [ 31%] Building passes/equiv/equiv_miter.o [ 32%] Building passes/equiv/equiv_simple.o [ 32%] Building passes/equiv/equiv_status.o [ 32%] Building passes/equiv/equiv_add.o [ 33%] Building passes/equiv/equiv_remove.o [ 33%] Building passes/equiv/equiv_induct.o [ 33%] Building passes/equiv/equiv_struct.o [ 34%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 34%] Building passes/equiv/equiv_opt.o [ 35%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 35%] Building passes/fsm/fsm_extract.o [ 35%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 36%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 37%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 39%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 40%] Building passes/memory/memory_map.o [ 40%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 41%] Building passes/memory/memory_narrow.o [ 41%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o [ 42%] Building passes/memory/memlib.o [ 42%] Building passes/opt/opt.o [ 43%] Building passes/opt/opt_merge.o [ 43%] Building passes/opt/opt_mem.o [ 43%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 44%] Building passes/opt/opt_mem_widen.o [ 44%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 45%] Building passes/opt/opt_share.o [ 45%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 46%] Building passes/opt/share.o [ 46%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 47%] Building passes/opt/rmports.o [ 47%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 48%] Building passes/opt/opt_ffinv.o [ 48%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 49%] Building passes/pmgen/ice40_dsp.o [ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_pm.h [ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 50%] Building passes/pmgen/peepopt.o [ 50%] Building passes/pmgen/xilinx_srl.o [ 51%] Building passes/proc/proc.o [ 51%] Building passes/proc/proc_prune.o [ 51%] Building passes/proc/proc_clean.o [ 52%] Building passes/proc/proc_rmdead.o [ 52%] Building passes/proc/proc_init.o [ 52%] Building passes/proc/proc_arst.o [ 53%] Building passes/proc/proc_rom.o [ 53%] Building passes/proc/proc_mux.o [ 53%] Building passes/proc/proc_dlatch.o [ 54%] Building passes/proc/proc_dff.o [ 54%] Building passes/proc/proc_memwr.o [ 54%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o [ 55%] Building passes/sat/eval.o [ 55%] Building passes/sat/sim.o [ 55%] Building passes/sat/miter.o [ 56%] Building passes/sat/expose.o [ 56%] Building passes/sat/assertpmux.o [ 56%] Building passes/sat/clk2fflogic.o [ 57%] Building passes/sat/async2sync.o [ 57%] Building passes/sat/formalff.o [ 57%] Building passes/sat/supercover.o [ 58%] Building passes/sat/fmcombine.o [ 58%] Building passes/sat/mutate.o [ 58%] Building passes/sat/cutpoint.o [ 59%] Building passes/sat/fminit.o [ 59%] Building passes/sat/recover_names.o [ 59%] Building passes/sat/qbfsat.o [ 60%] Building passes/sat/synthprop.o [ 60%] Building passes/techmap/flatten.o [ 60%] Building passes/techmap/techmap.o [ 60%] Building passes/techmap/simplemap.o [ 61%] Building passes/techmap/dfflibmap.o [ 61%] Building passes/techmap/maccmap.o [ 61%] Building passes/techmap/booth.o [ 62%] Building passes/techmap/libparse.o [ 62%] Building passes/techmap/abc.o [ 62%] Building passes/techmap/abc9.o [ 63%] Building passes/techmap/abc9_exe.o [ 63%] Building passes/techmap/abc9_ops.o [ 63%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 64%] Building passes/techmap/hilomap.o [ 64%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/techmap/abc.cc:2005:15: warning: 'g_argidx' may be used uninitialized in this function [-Wmaybe-uninitialized] cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str())); ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 65%] Building passes/techmap/extract_counter.o [ 65%] Building passes/techmap/extract_reduce.o [ 65%] Building passes/techmap/alumacc.o [ 66%] Building passes/techmap/dffinit.o [ 66%] Building passes/techmap/pmuxtree.o [ 66%] Building passes/techmap/bmuxmap.o [ 67%] Building passes/techmap/demuxmap.o [ 67%] Building passes/techmap/bwmuxmap.o [ 67%] Building passes/techmap/muxcover.o [ 68%] Building passes/techmap/aigmap.o [ 68%] Building passes/techmap/tribuf.o [ 68%] Building passes/techmap/lut2mux.o [ 69%] Building passes/techmap/nlutmap.o [ 69%] Building passes/techmap/shregmap.o [ 69%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o [ 70%] Building passes/techmap/attrmvcp.o [ 70%] Building passes/techmap/attrmap.o [ 70%] Building passes/techmap/zinit.o [ 71%] Building passes/techmap/dfflegalize.o [ 71%] Building passes/techmap/dffunmap.o [ 71%] Building passes/techmap/flowmap.o [ 72%] Building passes/techmap/extractinv.o [ 72%] Building passes/tests/test_autotb.o [ 72%] Building passes/tests/test_cell.o [ 73%] Building passes/tests/test_abcloop.o [ 73%] Building backends/aiger/aiger.o [ 73%] Building backends/aiger/xaiger.o [ 74%] Building backends/blif/blif.o [ 74%] Building backends/btor/btor.o [ 74%] Building backends/cxxrtl/cxxrtl_backend.o [ 75%] Building backends/edif/edif.o [ 75%] Building backends/firrtl/firrtl.o [ 75%] Building backends/intersynth/intersynth.o [ 75%] Building backends/jny/jny.o [ 76%] Building backends/json/json.o [ 76%] Building backends/rtlil/rtlil_backend.o [ 76%] Building backends/simplec/simplec.o [ 77%] Building backends/smt2/smt2.o [ 77%] Building backends/smv/smv.o [ 77%] Building backends/spice/spice.o [ 78%] Building backends/table/table.o [ 78%] Building backends/verilog/verilog_backend.o [ 78%] Building techlibs/achronix/synth_achronix.o [ 79%] Building techlibs/anlogic/synth_anlogic.o [ 79%] Building techlibs/anlogic/anlogic_eqn.o [ 79%] Building techlibs/anlogic/anlogic_fixcarry.o [ 80%] Building techlibs/common/synth.o [ 80%] Building techlibs/common/prep.o [ 80%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 80%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 81%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 81%] Building techlibs/easic/synth_easic.o [ 81%] Building techlibs/ecp5/synth_ecp5.o [ 82%] Building techlibs/efinix/synth_efinix.o [ 82%] Building techlibs/efinix/efinix_fixcarry.o [ 82%] Building techlibs/fabulous/synth_fabulous.o [ 83%] Building techlibs/gatemate/synth_gatemate.o [ 83%] Building techlibs/gatemate/gatemate_foldinv.o [ 83%] Building techlibs/gowin/synth_gowin.o [ 84%] Building techlibs/greenpak4/synth_greenpak4.o [ 84%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 84%] Building techlibs/ice40/synth_ice40.o [ 85%] Building techlibs/ice40/ice40_braminit.o [ 85%] Building techlibs/ice40/ice40_opt.o [ 85%] Building techlibs/intel/synth_intel.o [ 85%] Building techlibs/intel_alm/synth_intel_alm.o [ 86%] Building techlibs/lattice/synth_lattice.o [ 86%] Building techlibs/lattice/lattice_gsr.o [ 86%] Building techlibs/nexus/synth_nexus.o [ 87%] Building techlibs/quicklogic/synth_quicklogic.o [ 87%] Building techlibs/quicklogic/ql_bram_merge.o [ 87%] Building techlibs/quicklogic/ql_bram_types.o [ 88%] Building techlibs/quicklogic/ql_dsp_simd.o [ 88%] Building techlibs/quicklogic/ql_dsp_io_regs.o [ 88%] Building techlibs/quicklogic/ql_dsp_macc_pm.h [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building abc/abc-896e5e7 Compiling local copy of ABC [ 94%] ABC: Using CC=gcc [ 94%] ABC: Using CXX=gcc [ 94%] ABC: Using AR=ar [ 94%] ABC: Using LD=gcc [ 94%] ABC: Compiling in namespace [ 94%] ABC: Compiling with CUDD [ 94%] ABC: Using libreadline [ 94%] ABC: Using pthreads [ 94%] ABC: Found GCC_VERSION 7.5.0 [ 94%] ABC: Found GCC_MAJOR>=5 [ 94%] ABC: Using explicit -lstdc++ [ 94%] ABC: Using CFLAGS=-O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable [ 94%] ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose/Options.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose/System.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp [ 99%] Building passes/techmap/filterlib.o [ 94%] ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp [ 94%] ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp [ 94%] ABC: `` Generating dependency: /src/base/abc/abcAig.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcCheck.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcDfs.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcFanio.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcFunc.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcHie.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcHieCec.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcHieGia.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcHieNew.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcLatch.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcLib.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcMinBase.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcNames.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcNetlist.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcNtk.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcObj.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcRefs.c [ 99%] Building yosys-smtbmc [ 94%] ABC: `` Generating dependency: /src/base/abc/abcShow.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcSop.c [ 94%] ABC: `` Generating dependency: /src/base/abc/abcUtil.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abc.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcAttach.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcAuto.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcBalance.c [ 99%] Building yosys-witness [ 94%] ABC: `` Generating dependency: /src/base/abci/abcBidec.c [ 99%] Building share/include/kernel/binding.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcBm.c [ 99%] Building share/include/kernel/cellaigs.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcBmc.c [ 99%] Building share/include/kernel/celledges.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcCas.c [ 99%] Building share/include/kernel/celltypes.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcCascade.c [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/cost.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcCollapse.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcCut.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDar.c [ 99%] Building share/include/kernel/ff.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDebug.c [ 99%] Building share/include/kernel/ffinit.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDec.c [ 99%] Building share/include/kernel/ffmerge.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDetect.c [ 99%] Building share/include/kernel/fmt.h [ 99%] Building share/include/kernel/fstdata.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDress.c [ 99%] Building share/include/kernel/hashlib.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDress2.c [ 99%] Building share/include/kernel/json.h [ 99%] Building share/include/kernel/log.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDress3.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcDsd.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcEco.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcExact.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcExtract.c [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/modtools.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcFraig.c [ 99%] Building share/include/kernel/mem.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcFx.c [ 99%] Building share/include/kernel/qcsat.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcFxu.c [ 99%] Building share/include/kernel/register.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcGen.c [ 99%] Building share/include/kernel/rtlil.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcHaig.c [ 99%] Building share/include/kernel/satgen.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcIf.c [ 99%] Building share/include/kernel/sigtools.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcIfif.c [ 99%] Building share/include/kernel/timinginfo.h [ 99%] Building share/include/kernel/utils.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcIfMux.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcIvy.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcLog.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcLut.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcLutmin.c [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/yw.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcMap.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcMerge.c [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcMfs.c [ 99%] Building share/include/libs/fst/fstapi.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcMini.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcMiter.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcMulti.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcNpn.c [ 99%] Building share/include/libs/sha1/sha1.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcOrchestration.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcOdc.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcOrder.c [ 99%] Building share/include/libs/json11/json11.hpp [ 94%] ABC: `` Generating dependency: /src/base/abci/abcPart.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcPrint.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcProve.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcQbf.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcQuant.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRec3.c [ 99%] Building share/include/passes/fsm/fsmdata.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcReconv.c [ 99%] Building share/include/frontends/ast/ast.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcReach.c [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRefactor.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRenode.c [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcReorder.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRestruct.c [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcResub.c [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRewrite.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRpo.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRr.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcRunGen.c [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc [ 94%] ABC: `` Generating dependency: /src/base/abci/abcSat.c [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc [ 94%] ABC: `` Generating dependency: /src/base/abci/abcSaucy.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcScorr.c [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h [ 94%] ABC: `` Generating dependency: /src/base/abci/abcSense.c [ 99%] Building share/python3/smtio.py [ 94%] ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcStrash.c [ 99%] Building share/python3/ywio.py [ 94%] ABC: `` Generating dependency: /src/base/abci/abcSweep.c [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/abci/abcSymm.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcTim.c [ 99%] Building share/achronix/speedster22i/cells_map.v [ 94%] ABC: `` Generating dependency: /src/base/abci/abcTiming.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcUnate.c [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/abci/abcUnreach.c [ 99%] Building share/anlogic/eagle_bb.v [ 94%] ABC: `` Generating dependency: /src/base/abci/abcVerify.c [ 94%] ABC: `` Generating dependency: /src/base/abci/abcXsim.c [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmd.c [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c [ 99%] Building share/anlogic/lutrams.txt [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdApi.c [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c [ 99%] Building share/simcells.v [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdHist.c [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c [ 99%] Building share/techmap.v [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c [ 99%] Building share/smtmap.v [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c [ 94%] ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c [ 99%] Building share/pmux2mux.v [ 94%] ABC: `` Generating dependency: /src/base/io/io.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioJson.c [ 99%] Building share/adff2dff.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadAiger.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBaf.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBblif.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBench.c [ 99%] Building share/dff2ff.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBlif.c [ 99%] Building share/gate2lut.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadDsd.c [ 99%] Building share/mul2dsp.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadEdif.c [ 99%] Building share/abc9_model.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadEqn.c [ 99%] Building share/abc9_map.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadPla.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c [ 99%] Building share/abc9_unmap.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c [ 99%] Building share/cmp2lcu.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioUtil.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c [ 99%] Building share/cmp2softlogic.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBench.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c [ 99%] Building share/coolrunner2/cells_latch.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteBook.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c [ 99%] Building share/coolrunner2/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteDot.c [ 99%] Building share/coolrunner2/cells_counter_map.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c [ 99%] Building share/coolrunner2/tff_extract.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteGml.c [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteList.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWritePla.c [ 99%] Building share/ecp5/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c [ 94%] ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c [ 99%] Building share/ecp5/cells_bb.v [ 94%] ABC: `` Generating dependency: /src/base/main/main.c [ 99%] Building share/ecp5/lutrams_map.v [ 94%] ABC: `` Generating dependency: /src/base/main/mainFrame.c [ 94%] ABC: `` Generating dependency: /src/base/main/mainInit.c [ 99%] Building share/ecp5/lutrams.txt [ 94%] ABC: `` Generating dependency: /src/base/main/mainLib.c [ 99%] Building share/ecp5/brams_map.v [ 94%] ABC: `` Generating dependency: /src/base/main/mainReal.c [ 99%] Building share/ecp5/brams.txt [ 94%] ABC: `` Generating dependency: /src/base/main/libSupport.c [ 94%] ABC: `` Generating dependency: /src/base/main/mainUtils.c [ 94%] ABC: `` Generating dependency: /src/base/exor/exor.c [ 99%] Building share/ecp5/arith_map.v [ 94%] ABC: `` Generating dependency: /src/base/exor/exorBits.c [ 94%] ABC: `` Generating dependency: /src/base/exor/exorCubes.c [ 99%] Building share/ecp5/latches_map.v [ 94%] ABC: `` Generating dependency: /src/base/exor/exorLink.c [ 94%] ABC: `` Generating dependency: /src/base/exor/exorList.c [ 94%] ABC: `` Generating dependency: /src/base/exor/exorUtil.c [ 99%] Building share/ecp5/dsp_map.v [ 94%] ABC: `` Generating dependency: /src/base/ver/verCore.c [ 94%] ABC: `` Generating dependency: /src/base/ver/verFormula.c [ 94%] ABC: `` Generating dependency: /src/base/ver/verParse.c [ 99%] Building share/efinix/cells_map.v [ 94%] ABC: `` Generating dependency: /src/base/ver/verStream.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c [ 99%] Building share/efinix/arith_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c [ 99%] Building share/efinix/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcPth.c [ 99%] Building share/efinix/brams_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcCom.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcJson.c [ 99%] Building share/fabulous/prims.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcMem.c [ 99%] Building share/fabulous/latches_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c [ 99%] Building share/fabulous/ff_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c [ 99%] Building share/fabulous/ram_regfile.txt [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcSim.c [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcShow.c [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcUif.c [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcWin.c [ 99%] Building share/gatemate/mux_map.v [ 94%] ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c [ 99%] Building share/gatemate/lut_map.v [ 94%] ABC: `` Generating dependency: /src/base/wln/wln.c [ 99%] Building share/gatemate/mul_map.v [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnBlast.c [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnCom.c [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnGuide.c [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnMem.c [ 99%] Building share/gatemate/cells_bb.v [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnNdr.c [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnNtk.c [ 99%] Building share/gatemate/brams_map.v [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnObj.c [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnRead.c [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnRetime.c [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnRtl.c [ 99%] Building share/gatemate/brams_init_40.vh [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnWlc.c [ 99%] Building share/gatemate/inv_map.v [ 94%] ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c [ 94%] ABC: `` Generating dependency: /src/base/acb/acbAbc.c [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/acb/acbAig.c [ 99%] Building share/gowin/cells_xtra.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 94%] ABC: `` Generating dependency: /src/base/acb/acbCom.c [ 99%] Building share/gowin/brams.txt [ 94%] ABC: `` Generating dependency: /src/base/acb/acbFunc.c [ 94%] ABC: `` Generating dependency: /src/base/acb/acbMfs.c [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 94%] ABC: `` Generating dependency: /src/base/acb/acbPush.c [ 99%] Building share/greenpak4/cells_latch.v [ 94%] ABC: `` Generating dependency: /src/base/acb/acbSets.c [ 94%] ABC: `` Generating dependency: /src/base/acb/acbTest.c [ 94%] ABC: `` Generating dependency: /src/base/acb/acbUtil.c [ 94%] ABC: `` Generating dependency: /src/base/bac/bacBlast.c [ 99%] Building share/greenpak4/cells_map.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacBac.c [ 99%] Building share/greenpak4/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacCom.c [ 99%] Building share/greenpak4/cells_sim_ams.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacLib.c [ 94%] ABC: `` Generating dependency: /src/base/bac/bacNtk.c [ 94%] ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c [ 99%] Building share/greenpak4/cells_sim_digital.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c [ 99%] Building share/greenpak4/cells_sim_wip.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacPtr.c [ 99%] Building share/greenpak4/gp_dff.lib [ 94%] ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c [ 94%] ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c [ 99%] Building share/ice40/arith_map.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c [ 99%] Building share/ice40/cells_map.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacReadVer.c [ 94%] ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c [ 94%] ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c [ 99%] Building share/ice40/ff_map.v [ 94%] ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaBlast.c [ 99%] Building share/ice40/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaCba.c [ 99%] Building share/ice40/latches_map.v [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaCom.c [ 99%] Building share/ice40/brams.txt [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaNtk.c [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c [ 94%] ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c [ 94%] ABC: `` Generating dependency: /src/base/pla/plaCom.c [ 94%] ABC: `` Generating dependency: /src/base/pla/plaHash.c [ 94%] ABC: `` Generating dependency: /src/base/pla/plaMan.c [ 99%] Building share/ice40/brams_map.v [ 94%] ABC: `` Generating dependency: /src/base/pla/plaMerge.c [ 99%] Building share/ice40/spram.txt [ 94%] ABC: `` Generating dependency: /src/base/pla/plaSimple.c [ 94%] ABC: `` Generating dependency: /src/base/pla/plaRead.c [ 99%] Building share/ice40/spram_map.v [ 94%] ABC: `` Generating dependency: /src/base/pla/plaWrite.c [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 94%] ABC: `` Generating dependency: /src/base/test/test.c [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapper.c [ 99%] Building share/intel/common/brams_m9k.txt [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCore.c [ 99%] Building share/intel/common/brams_map_m9k.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c [ 99%] Building share/intel/common/ff_map.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCut.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c [ 99%] Building share/intel/max10/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperLib.c [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTable.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTime.c [ 99%] Building share/intel/cycloneive/cells_map.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTree.c [ 99%] Building share/intel_alm/common/abc9_map.v [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c [ 94%] ABC: `` Generating dependency: /src/map/mapper/mapperVec.c [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 94%] ABC: `` Generating dependency: /src/map/mio/mioApi.c [ 94%] ABC: `` Generating dependency: /src/map/mio/mio.c [ 94%] ABC: `` Generating dependency: /src/map/mio/mioFunc.c [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 94%] ABC: `` Generating dependency: /src/map/mio/mioParse.c [ 94%] ABC: `` Generating dependency: /src/map/mio/mioRead.c [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 94%] ABC: `` Generating dependency: /src/map/mio/mioSop.c [ 99%] Building share/intel_alm/common/dff_map.v [ 94%] ABC: `` Generating dependency: /src/map/mio/mioUtils.c [ 94%] ABC: `` Generating dependency: /src/map/super/super.c [ 99%] Building share/intel_alm/common/dff_sim.v [ 94%] ABC: `` Generating dependency: /src/map/super/superAnd.c [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 94%] ABC: `` Generating dependency: /src/map/super/superGate.c [ 99%] Building share/intel_alm/common/mem_sim.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifCom.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifCache.c [ 99%] Building share/intel_alm/common/misc_sim.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifCore.c [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifCut.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifData2.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifDec07.c [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifDec08.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifDec10.c [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 94%] ABC: `` Generating dependency: /src/map/if/ifDec16.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifDec75.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifDelay.c [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifDsd.c [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 94%] ABC: `` Generating dependency: /src/map/if/ifLibBox.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifLibLut.c [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifMan.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifMap.c [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/lattice/cells_ff.vh [ 94%] ABC: `` Generating dependency: /src/map/if/ifMatch2.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifReduce.c [ 99%] Building share/lattice/cells_io.vh [ 94%] ABC: `` Generating dependency: /src/map/if/ifSat.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifSelect.c [ 99%] Building share/lattice/cells_map.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifSeq.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifTest.c [ 99%] Building share/lattice/common_sim.vh [ 99%] Building share/lattice/ccu2d_sim.vh [ 94%] ABC: `` Generating dependency: /src/map/if/ifTime.c [ 94%] ABC: `` Generating dependency: /src/map/if/ifTruth.c [ 99%] Building share/lattice/ccu2c_sim.vh [ 94%] ABC: `` Generating dependency: /src/map/if/ifTune.c [ 99%] Building share/lattice/cells_sim_ecp5.v [ 94%] ABC: `` Generating dependency: /src/map/if/ifUtil.c [ 99%] Building share/lattice/cells_sim_xo2.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapCore.c [ 99%] Building share/lattice/cells_sim_xo3.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapGraph.c [ 94%] ABC: `` Generating dependency: /src/map/amap/amapLib.c [ 99%] Building share/lattice/cells_sim_xo3d.v [ 99%] Building share/lattice/cells_bb_ecp5.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapLiberty.c [ 99%] Building share/lattice/cells_bb_xo2.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapMan.c [ 99%] Building share/lattice/cells_bb_xo3.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapMatch.c [ 94%] ABC: `` Generating dependency: /src/map/amap/amapMerge.c [ 94%] ABC: `` Generating dependency: /src/map/amap/amapOutput.c [ 99%] Building share/lattice/cells_bb_xo3d.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapParse.c [ 94%] ABC: `` Generating dependency: /src/map/amap/amapPerm.c [ 99%] Building share/lattice/lutrams_map.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapRead.c [ 99%] Building share/lattice/lutrams.txt [ 94%] ABC: `` Generating dependency: /src/map/amap/amapRule.c [ 99%] Building share/lattice/brams_map_16kd.v [ 94%] ABC: `` Generating dependency: /src/map/amap/amapUniq.c [ 94%] ABC: `` Generating dependency: /src/map/cov/covBuild.c [ 94%] ABC: `` Generating dependency: /src/map/cov/covCore.c [ 94%] ABC: `` Generating dependency: /src/map/cov/covMan.c [ 94%] ABC: `` Generating dependency: /src/map/cov/covMinEsop.c [ 99%] Building share/lattice/brams_16kd.txt [ 94%] ABC: `` Generating dependency: /src/map/cov/covMinMan.c [ 94%] ABC: `` Generating dependency: /src/map/cov/covMinSop.c [ 99%] Building share/lattice/brams_map_8kc.v [ 94%] ABC: `` Generating dependency: /src/map/cov/covMinUtil.c [ 99%] Building share/lattice/brams_8kc.txt [ 94%] ABC: `` Generating dependency: /src/map/scl/scl.c [ 94%] ABC: `` Generating dependency: /src/map/scl/sclBuffer.c [ 94%] ABC: `` Generating dependency: /src/map/scl/sclBufSize.c [ 99%] Building share/lattice/arith_map_ccu2c.v [ 99%] Building share/lattice/arith_map_ccu2d.v [ 94%] ABC: `` Generating dependency: /src/map/scl/sclDnsize.c [ 94%] ABC: `` Generating dependency: /src/map/scl/sclLiberty.c [ 99%] Building share/lattice/latches_map.v [ 94%] ABC: `` Generating dependency: /src/map/scl/sclLibScl.c [ 94%] ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c [ 99%] Building share/lattice/dsp_map_18x18.v [ 94%] ABC: `` Generating dependency: /src/map/scl/sclLoad.c [ 99%] Building share/nexus/cells_map.v [ 94%] ABC: `` Generating dependency: /src/map/scl/sclSize.c [ 94%] ABC: `` Generating dependency: /src/map/scl/sclUpsize.c [ 94%] ABC: `` Generating dependency: /src/map/scl/sclUtil.c [ 99%] Building share/nexus/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c [ 99%] Building share/nexus/parse_init.vh [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmCore.c [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmGates.c [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmLib.c [ 99%] Building share/nexus/cells_xtra.v [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmMan.c [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmMap.c [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmMig.c [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmPre.c [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c [ 99%] Building share/nexus/brams_map.v [ 94%] ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c [ 99%] Building share/nexus/brams.txt [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c [ 99%] Building share/nexus/lrams_map.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c [ 99%] Building share/nexus/lrams.txt [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c [ 99%] Building share/nexus/arith_map.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c [ 99%] Building share/nexus/latches_map.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/common/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c [ 99%] Building share/quicklogic/pp3/ffs_map.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c [ 99%] Building share/quicklogic/pp3/lut_map.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c [ 99%] Building share/quicklogic/pp3/latches_map.v [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c [ 94%] ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c [ 99%] Building share/quicklogic/pp3/cells_map.v [ 99%] Building share/quicklogic/pp3/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c [ 99%] Building share/quicklogic/pp3/abc9_model.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c [ 99%] Building share/quicklogic/pp3/abc9_map.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c [ 99%] Building share/quicklogic/pp3/abc9_unmap.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c [ 99%] Building share/quicklogic/qlf_k6n10f/arith_map.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcList.c [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams.txt [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams_map.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c [ 99%] Building share/quicklogic/qlf_k6n10f/brams_map.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c [ 99%] Building share/quicklogic/qlf_k6n10f/brams_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c [ 99%] Building techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/st/st.c [ 94%] ABC: `` Generating dependency: /src/misc/st/stmm.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilBridge.c [ 99%] Building share/quicklogic/qlf_k6n10f/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/util/utilCex.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilColor.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilFile.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilIsop.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilNam.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilSignal.c [ 94%] ABC: `` Generating dependency: /src/misc/util/utilSort.c [ 99%] Building share/quicklogic/qlf_k6n10f/ffs_map.v [ 94%] ABC: `` Generating dependency: /src/misc/nm/nmApi.c [ 94%] ABC: `` Generating dependency: /src/misc/tim/timBox.c [ 94%] ABC: `` Generating dependency: /src/misc/nm/nmTable.c [ 94%] ABC: `` Generating dependency: /src/misc/tim/timDump.c [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/tim/timMan.c [ 94%] ABC: `` Generating dependency: /src/misc/tim/timTime.c [ 94%] ABC: `` Generating dependency: /src/misc/tim/timTrav.c [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/blocksort.c [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_map.v [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/bzlib.c [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/compress.c [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/crctable.c [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/decompress.c [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/huffman.c [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_final_map.v [ 94%] ABC: `` Generating dependency: /src/misc/bzlib/randtable.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/adler32.c [ 99%] Building share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v [ 99%] Building share/quicklogic/qlf_k6n10f/ufifo_ctl.v [ 94%] ABC: `` Generating dependency: /src/misc/zlib/compress_.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/crc32.c [ 99%] Building share/quicklogic/qlf_k6n10f/sram1024x18_mem.v [ 94%] ABC: `` Generating dependency: /src/misc/zlib/deflate.c [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzclose.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzlib.c [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzread.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/gzwrite.c [ 99%] Building share/xilinx/cells_sim.v [ 94%] ABC: `` Generating dependency: /src/misc/zlib/infback.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/inffast.c [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 94%] ABC: `` Generating dependency: /src/misc/zlib/inflate.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/inftrees.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/trees.c [ 94%] ABC: `` Generating dependency: /src/misc/zlib/uncompr.c [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 94%] ABC: `` Generating dependency: /src/misc/zlib/zutil.c [ 94%] ABC: `` Generating dependency: /src/misc/mem/mem.c [ 94%] ABC: `` Generating dependency: /src/misc/bar/bar.c [ 94%] ABC: `` Generating dependency: /src/misc/bbl/bblif.c [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 94%] ABC: `` Generating dependency: /src/misc/parse/parseEqn.c [ 94%] ABC: `` Generating dependency: /src/misc/parse/parseStack.c [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutApi.c [ 99%] Building share/xilinx/lutrams_xcu.txt [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutCut.c [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutMan.c [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutMerge.c [ 99%] Building share/xilinx/brams_xcv_map.v [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutNode.c [ 99%] Building share/xilinx/brams_defs.vh [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutOracle.c [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutPre22.c [ 99%] Building share/xilinx/brams_xc2v.txt [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutSeq.c [ 99%] Building share/xilinx/brams_xc2v_map.v [ 94%] ABC: `` Generating dependency: /src/opt/cut/cutTruth.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxu.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuList.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c [ 99%] Building share/xilinx/brams_xc3sda.txt [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c [ 99%] Building share/xilinx/brams_xc4v.txt [ 94%] ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c [ 94%] ABC: `` Generating dependency: /src/opt/fxch/Fxch.c [ 99%] Building share/xilinx/brams_xc4v_map.v [ 94%] ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c [ 94%] ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c [ 94%] ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c [ 99%] Building share/xilinx/brams_xcu_map.v [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c [ 99%] Building share/xilinx/urams.txt [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c [ 94%] ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c [ 99%] Building share/xilinx/urams_map.v [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c [ 99%] Building share/xilinx/arith_map.v [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 94%] ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c [ 94%] ABC: `` Generating dependency: /src/opt/sim/simMan.c [ 99%] Building share/xilinx/mux_map.v [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSeq.c [ 99%] Building share/xilinx/xc3s_mult_map.v [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSupp.c [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSwitch.c [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSym.c [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSymSat.c [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSymSim.c [ 94%] ABC: `` Generating dependency: /src/opt/sim/simSymStr.c [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 94%] ABC: `` Generating dependency: /src/opt/sim/simUtils.c [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 94%] ABC: `` Generating dependency: /src/opt/ret/retArea.c [ 94%] ABC: `` Generating dependency: /src/opt/ret/retCore.c [ 99%] Building share/xilinx/xc7_dsp_map.v [ 94%] ABC: `` Generating dependency: /src/opt/ret/retDelay.c [ 99%] Building share/xilinx/xcu_dsp_map.v [ 94%] ABC: `` Generating dependency: /src/opt/ret/retFlow.c [ 94%] ABC: `` Generating dependency: /src/opt/ret/retIncrem.c [ 94%] ABC: `` Generating dependency: /src/opt/ret/retInit.c [ 99%] Building share/xilinx/abc9_model.v [ 94%] ABC: `` Generating dependency: /src/opt/ret/retLvalue.c [ 94%] ABC: `` Generating dependency: /src/opt/fret/fretMain.c [ 94%] ABC: `` Generating dependency: /src/opt/fret/fretFlow.c [ 99%] Building kernel/version_543faed9c8c.o [ 99%] Building kernel/register.o [ 94%] ABC: `` Generating dependency: /src/opt/fret/fretInit.c [ 94%] ABC: `` Generating dependency: /src/opt/fret/fretTime.c [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 94%] ABC: `` Generating dependency: /src/opt/res/resCore.c [ 94%] ABC: `` Generating dependency: /src/opt/res/resDivs.c [ 94%] ABC: `` Generating dependency: /src/opt/res/resFilter.c [ 94%] ABC: `` Generating dependency: /src/opt/res/resSat.c [ 94%] ABC: `` Generating dependency: /src/opt/res/resSim.c [ 94%] ABC: `` Generating dependency: /src/opt/res/resStrash.c [ 94%] ABC: `` Generating dependency: /src/opt/res/resWin.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c [ 94%] ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c frontends/rtlil/rtlil_lexer.cc: In function 'int yy_get_next_buffer()': frontends/rtlil/rtlil_lexer.cc:1704:23: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] { [ 94%] ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c [ 94%] ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c [ 94%] ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c [ 94%] ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c [ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c [ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c [ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c [ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c [ 94%] ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c [ 94%] ABC: `` Generating dependency: /src/opt/csw/cswCore.c [ 94%] ABC: `` Generating dependency: /src/opt/csw/cswCut.c [ 94%] ABC: `` Generating dependency: /src/opt/csw/cswMan.c [ 94%] ABC: `` Generating dependency: /src/opt/csw/cswTable.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darBalance.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darCore.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darCut.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darData.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darLib.c [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 94%] ABC: `` Generating dependency: /src/opt/dar/darMan.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darPrec.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darRefact.c [ 94%] ABC: `` Generating dependency: /src/opt/dar/darScript.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauCanon.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauCore.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauCount.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauDivs.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauDsd.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauEnum.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauGia.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauMerge.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauNpn.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c [ 94%] ABC: `` Generating dependency: /src/opt/dau/dauTree.c [ 94%] ABC: `` Generating dependency: /src/opt/dsc/dsc.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c [ 94%] ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbd.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c [ 94%] ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satMem.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInter.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInterA.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInterB.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satInterP.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satProof.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satStore.c [ 99%] Building frontends/verilog/verilog_lexer.cc [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satTrace.c [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satTruth.c [ 99%] Building passes/pmgen/test_pmgen.o [ 94%] ABC: `` Generating dependency: /src/sat/bsat/satUtil.c [ 94%] ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c [ 94%] ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c [ 94%] ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c [ 94%] ABC: `` Generating dependency: /src/sat/satoko/solver.c [ 94%] ABC: `` Generating dependency: /src/sat/satoko/solver_api.c [ 94%] ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c [ 94%] ABC: `` Generating dependency: /src/sat/csat/csat_apis.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatActivity.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatClause.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatMem.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatQueue.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatRead.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatSort.c [ 94%] ABC: `` Generating dependency: /src/sat/msat/msatVec.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfData.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c [ 94%] ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c [ 94%] ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c [ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c [ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c [ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c [ 94%] ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c [ 94%] ABC: `` Generating dependency: /src/bool/dec/decAbc.c [ 94%] ABC: `` Generating dependency: /src/bool/dec/decFactor.c [ 94%] ABC: `` Generating dependency: /src/bool/dec/decMan.c [ 94%] ABC: `` Generating dependency: /src/bool/dec/decPrint.c [ 94%] ABC: `` Generating dependency: /src/bool/dec/decUtil.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitAig.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitBdd.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitCloud.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/cloud.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitDsd.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitFactor.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitGraph.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitHop.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitIsop.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitPla.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitSop.c [ 94%] ABC: `` Generating dependency: /src/bool/kit/kitTruth.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/lucky.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c [ 94%] ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c [ 94%] ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c [ 94%] ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c [ 94%] ABC: `` Generating dependency: /src/bool/rpo/rpo.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c [ 94%] ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absDup.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absGla.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absIter.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldCex.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldRef.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldSat.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absOldSim.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absOut.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absPth.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absRef.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absRpm.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absVta.c [ 94%] ABC: `` Generating dependency: /src/proof/abs/absUtil.c [ 94%] ABC: `` Generating dependency: /src/proof/live/liveness.c [ 94%] ABC: `` Generating dependency: /src/proof/live/liveness_sim.c [ 94%] ABC: `` Generating dependency: /src/proof/live/ltl_parser.c [ 94%] ABC: `` Generating dependency: /src/proof/live/kliveness.c [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 94%] ABC: `` Generating dependency: /src/proof/live/monotone.c [ 94%] ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c [ 94%] ABC: `` Generating dependency: /src/proof/live/arenaViolation.c [ 94%] ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c [ 94%] ABC: `` Generating dependency: /src/proof/live/combination.c [ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscClass.c [ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscCore.c [ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscSat.c [ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscSim.c [ 94%] ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intCheck.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intContain.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intCore.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intCtrex.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intDup.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intFrames.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intInter.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intM114.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intMan.c [ 94%] ABC: `` Generating dependency: /src/proof/int/intUtil.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecCec.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecChoice.c [ 99%] Building passes/pmgen/xilinx_dsp.o [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecClass.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecCore.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecCorr.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecIso.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecMan.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecPat.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSat.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSatG.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSeq.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSim.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSolve.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSplit.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSynth.c [ 94%] ABC: `` Generating dependency: /src/proof/cec/cecSweep.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCl.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCore.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCo.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecBo.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecRe.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPa.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPo.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPool.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecCover.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecFadds.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecMult.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecNorm.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecOrder.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecSt.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecTree.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecUtil.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c [ 94%] ABC: `` Generating dependency: /src/proof/acec/acecXor.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchAig.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchChoice.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchClass.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchCnf.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchCore.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchMan.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSat.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSim.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c [ 94%] ABC: `` Generating dependency: /src/proof/dch/dchSweep.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c [ 94%] ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraBmc.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraCec.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraClass.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraClau.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraClaus.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraCnf.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraCore.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraHot.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraImp.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraInd.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraLcr.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraMan.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraPart.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraSat.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraSec.c [ 94%] ABC: `` Generating dependency: /src/proof/fra/fraSim.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswAig.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswClass.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswCore.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswMan.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswPart.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSat.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSim.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c [ 94%] ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigCheck.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigCanon.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigCuts.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigDfs.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigDup.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigFanout.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigFrames.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigInter.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigJust.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigMan.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigMem.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigMffc.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigObj.c [ 99%] Building techlibs/quicklogic/ql_dsp_macc.o [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigOper.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigOrder.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPack.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPart.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigRepr.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigRet.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigRetF.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigScl.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigShow.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigSplit.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTable.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTiming.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTruth.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigTsim.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigUtil.c [ 94%] ABC: `` Generating dependency: /src/aig/aig/aigWin.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigCone.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigConstr.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigDual.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigDup.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigInd.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIoa.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIso.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigMiter.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigPhase.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigScl.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigSynch.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigTempor.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigTrans.c [ 94%] ABC: `` Generating dependency: /src/aig/saig/saigWnd.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAig.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAgi.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAiger.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaBidec.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCCof.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCex.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaClp.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCof.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCone.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSat.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCTas.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaCut.c [ 99%] Building yosys-filterlib [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDecs.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDeep.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDfs.c [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaDup.c [ 99%] Building share/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building frontends/verilog/verilog_lexer.o [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEdge.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEnable.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEra.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEra2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaEsop.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaExist.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFalse.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFanout.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaForce.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFrames.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFront.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaFx.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaGen.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaGig.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaHash.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIff.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIiff.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIso.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIso2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaIso3.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaJf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaKf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaLf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMan.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMem.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMfs.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMini.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaNf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaOf.c frontends/verilog/verilog_lexer.cc: In function 'int yy_get_next_buffer()': frontends/verilog/verilog_lexer.cc:4197:23: warning: comparison between signed and unsigned integer expressions [-Wsign-compare] { [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPack.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPat.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPat2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaPf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaQbf.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub3.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaResub6.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaRetime.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaRex.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSat3.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaScl.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaScript.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShow.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShrink.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSif.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSim.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSim2.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSort.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSplit.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaStg.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaStoch.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaStr.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSupp.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSupps.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSweep.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTim.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTis.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTruth.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaTsim.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaUnate.c [ 94%] ABC: `` Generating dependency: /src/aig/gia/giaUtil.c [ 94%] ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c [ 94%] ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c [ 94%] ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c [ 94%] ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopBalance.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopCheck.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopDfs.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopMan.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopMem.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopObj.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopOper.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopTable.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopTruth.c [ 94%] ABC: `` Generating dependency: /src/aig/hop/hopUtil.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c [ 94%] ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c [ 94%] ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c [ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c [ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c [ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c [ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c [ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c [ 94%] ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c [ 94%] ABC: `` Generating dependency: /src/bdd/epd/epd.c [ 94%] ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c [ 94%] ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoApi.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoCore.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoSift.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c [ 94%] ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c [ 94%] ABC: `` Generating dependency: /src/bdd/cas/casCore.c [ 94%] ABC: `` Generating dependency: /src/bdd/cas/casDec.c [ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c [ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c [ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c [ 94%] ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c [ 94%] ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c [ 94%] ABC: Using CC=gcc [ 94%] ABC: Using CXX=gcc [ 94%] ABC: Using AR=ar [ 94%] ABC: Using LD=gcc [ 94%] ABC: Compiling in namespace [ 94%] ABC: Compiling with CUDD [ 94%] ABC: Using libreadline [ 94%] ABC: Using pthreads [ 94%] ABC: Found GCC_VERSION 7.5.0 [ 94%] ABC: Found GCC_MAJOR>=5 [ 94%] ABC: Using explicit -lstdc++ [ 94%] ABC: Using CFLAGS=-O2 -I/usr/include -I/usr/pkg/include/python3.11 -I/usr/pkg/include -I/usr/X11R7/include -I/usr/pkg/include/freetype2 -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable [ 94%] ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose/Glucose.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose/Options.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose/System.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose2/Options2.cpp [100%] Building yosys [ 94%] ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp [ 94%] ABC: `` Compiling: /src/sat/glucose2/System2.cpp [ 94%] ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp [ 94%] ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp [ 94%] ABC: `` Compiling: /src/base/abc/abcAig.c [ 94%] ABC: `` Compiling: /src/base/abc/abcBarBuf.c [ 94%] ABC: `` Compiling: /src/base/abc/abcBlifMv.c [ 94%] ABC: `` Compiling: /src/base/abc/abcCheck.c [ 94%] ABC: `` Compiling: /src/base/abc/abcDfs.c [ 94%] ABC: `` Compiling: /src/base/abc/abcFanio.c [ 94%] ABC: `` Compiling: /src/base/abc/abcFanOrder.c [ 94%] ABC: `` Compiling: /src/base/abc/abcFunc.c [ 94%] ABC: `` Compiling: /src/base/abc/abcHie.c [ 94%] ABC: `` Compiling: /src/base/abc/abcHieCec.c [ 94%] ABC: `` Compiling: /src/base/abc/abcHieGia.c [ 94%] ABC: `` Compiling: /src/base/abc/abcHieNew.c [ 94%] ABC: `` Compiling: /src/base/abc/abcLatch.c [ 94%] ABC: `` Compiling: /src/base/abc/abcLib.c [ 94%] ABC: `` Compiling: /src/base/abc/abcMinBase.c [ 94%] ABC: `` Compiling: /src/base/abc/abcNames.c [ 94%] ABC: `` Compiling: /src/base/abc/abcNetlist.c [ 94%] ABC: `` Compiling: /src/base/abc/abcNtk.c [ 94%] ABC: `` Compiling: /src/base/abc/abcObj.c [ 94%] ABC: `` Compiling: /src/base/abc/abcRefs.c [ 94%] ABC: `` Compiling: /src/base/abc/abcShow.c [ 94%] ABC: `` Compiling: /src/base/abc/abcSop.c [ 94%] ABC: `` Compiling: /src/base/abc/abcUtil.c [ 94%] ABC: `` Compiling: /src/base/abci/abc.c [ 94%] ABC: `` Compiling: /src/base/abci/abcAttach.c [ 94%] ABC: `` Compiling: /src/base/abci/abcAuto.c [ 94%] ABC: `` Compiling: /src/base/abci/abcBalance.c [ 94%] ABC: `` Compiling: /src/base/abci/abcBidec.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/base/abci/abcBalance.c:21: ./src/misc/vec/vecPtr.h: In function 'int abc::Abc_NodeBalanceFindLeft(abc::Vec_Ptr_t*)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecPtr.h: In function 'void abc::Abc_NodeBalancePermute(abc::Abc_Ntk_t*, abc::Vec_Ptr_t*, int)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/base/abci/abcBm.c [ 94%] ABC: `` Compiling: /src/base/abci/abcBmc.c [ 94%] ABC: `` Compiling: /src/base/abci/abcCas.c [ 94%] ABC: `` Compiling: /src/base/abci/abcCascade.c [ 94%] ABC: `` Compiling: /src/base/abci/abcCollapse.c [ 94%] ABC: `` Compiling: /src/base/abci/abcCut.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDar.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDebug.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDec.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDetect.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDress.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDress2.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDress3.c [ 94%] ABC: `` Compiling: /src/base/abci/abcDsd.c [ 94%] ABC: `` Compiling: /src/base/abci/abcEco.c [ 94%] ABC: `` Compiling: /src/base/abci/abcExact.c [ 94%] ABC: `` Compiling: /src/base/abci/abcExtract.c [ 94%] ABC: `` Compiling: /src/base/abci/abcFraig.c [ 94%] ABC: `` Compiling: /src/base/abci/abcFx.c [ 94%] ABC: `` Compiling: /src/base/abci/abcFxu.c [ 94%] ABC: `` Compiling: /src/base/abci/abcGen.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/base/abci/abcFx.c:21: ./src/misc/vec/vecWec.h: In function 'void abc::Fx_ManUpdate(abc::Fx_Man_t*, int, int*)': ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/base/abci/abcHaig.c [ 94%] ABC: `` Compiling: /src/base/abci/abcIf.c [ 94%] ABC: `` Compiling: /src/base/abci/abcIfif.c [ 94%] ABC: `` Compiling: /src/base/abci/abcIfMux.c [ 94%] ABC: `` Compiling: /src/base/abci/abcIvy.c [ 94%] ABC: `` Compiling: /src/base/abci/abcLog.c [ 94%] ABC: `` Compiling: /src/base/abci/abcLut.c [ 94%] ABC: `` Compiling: /src/base/abci/abcLutmin.c [ 94%] ABC: `` Compiling: /src/base/abci/abcMap.c [ 94%] ABC: `` Compiling: /src/base/abci/abcMerge.c [ 94%] ABC: `` Compiling: /src/base/abci/abcMfs.c [ 94%] ABC: `` Compiling: /src/base/abci/abcMini.c [ 94%] ABC: `` Compiling: /src/base/abci/abcMiter.c [ 94%] ABC: `` Compiling: /src/base/abci/abcMulti.c [ 94%] ABC: `` Compiling: /src/base/abci/abcNtbdd.c [ 94%] ABC: `` Compiling: /src/base/abci/abcNpn.c [ 94%] ABC: `` Compiling: /src/base/abci/abcNpnSave.c [ 94%] ABC: `` Compiling: /src/base/abci/abcOrchestration.c [ 94%] ABC: `` Compiling: /src/base/abci/abcOdc.c [ 94%] ABC: `` Compiling: /src/base/abci/abcOrder.c [ 94%] ABC: `` Compiling: /src/base/abci/abcPart.c [ 94%] ABC: `` Compiling: /src/base/abci/abcPrint.c [ 94%] ABC: `` Compiling: /src/base/abci/abcProve.c [ 94%] ABC: `` Compiling: /src/base/abci/abcQbf.c [ 94%] ABC: `` Compiling: /src/base/abci/abcQuant.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRec3.c [ 94%] ABC: `` Compiling: /src/base/abci/abcReconv.c [ 94%] ABC: `` Compiling: /src/base/abci/abcReach.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRefactor.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRenode.c [ 94%] ABC: `` Compiling: /src/base/abci/abcReorder.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRestruct.c [ 94%] ABC: `` Compiling: /src/base/abci/abcResub.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRewrite.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/base/abci/abcRestruct.c:21: ./src/misc/vec/vecInt.h: In function 'void abc::Abc_NodeEdgeDsdPermute(abc::Dec_Graph_t*, abc::Abc_ManRst_t*, abc::Vec_Int_t*, int)': ./src/misc/vec/vecInt.h:444:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/base/abci/abcRpo.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRr.c [ 94%] ABC: `` Compiling: /src/base/abci/abcRunGen.c [ 94%] ABC: `` Compiling: /src/base/abci/abcSat.c [ 94%] ABC: `` Compiling: /src/base/abci/abcSaucy.c [ 94%] ABC: `` Compiling: /src/base/abci/abcScorr.c [ 94%] ABC: `` Compiling: /src/base/abci/abcSense.c [ 94%] ABC: `` Compiling: /src/base/abci/abcSpeedup.c [ 94%] ABC: `` Compiling: /src/base/abci/abcStrash.c [ 94%] ABC: `` Compiling: /src/base/abci/abcSweep.c [ 94%] ABC: `` Compiling: /src/base/abci/abcSymm.c [ 94%] ABC: `` Compiling: /src/base/abci/abcTim.c [ 94%] ABC: `` Compiling: /src/base/abci/abcTiming.c [ 94%] ABC: `` Compiling: /src/base/abci/abcUnate.c [ 94%] ABC: `` Compiling: /src/base/abci/abcUnreach.c [ 94%] ABC: `` Compiling: /src/base/abci/abcVerify.c [ 94%] ABC: `` Compiling: /src/base/abci/abcXsim.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmd.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdAlias.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdApi.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdAuto.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdFlag.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdHist.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdLoad.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdPlugin.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdStarter.c [ 94%] ABC: `` Compiling: /src/base/cmd/cmdUtils.c [ 94%] ABC: `` Compiling: /src/base/io/io.c [ 94%] ABC: `` Compiling: /src/base/io/ioJson.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadAiger.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadBaf.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadBblif.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadBench.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadBlif.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadBlifAig.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadBlifMv.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadDsd.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadEdif.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadEqn.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadPla.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadPlaMo.c [ 94%] ABC: `` Compiling: /src/base/io/ioReadVerilog.c [ 94%] ABC: `` Compiling: /src/base/io/ioUtil.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteAiger.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteBaf.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteBblif.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteBench.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteBlif.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteBook.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteCnf.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteDot.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteEqn.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteEdgelist.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteGml.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteList.c [ 94%] ABC: `` Compiling: /src/base/io/ioWritePla.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteVerilog.c [ 94%] ABC: `` Compiling: /src/base/io/ioWriteSmv.c [ 94%] ABC: `` Compiling: /src/base/main/main.c [ 94%] ABC: `` Compiling: /src/base/main/mainFrame.c [ 94%] ABC: `` Compiling: /src/base/main/mainInit.c [ 94%] ABC: `` Compiling: /src/base/main/mainLib.c [ 94%] ABC: `` Compiling: /src/base/main/mainReal.c [ 94%] ABC: `` Compiling: /src/base/main/libSupport.c [ 94%] ABC: `` Compiling: /src/base/main/mainUtils.c [ 94%] ABC: `` Compiling: /src/base/exor/exor.c [ 94%] ABC: `` Compiling: /src/base/exor/exorBits.c [ 94%] ABC: `` Compiling: /src/base/exor/exorCubes.c [ 94%] ABC: `` Compiling: /src/base/exor/exorLink.c [ 94%] ABC: `` Compiling: /src/base/exor/exorList.c [ 94%] ABC: `` Compiling: /src/base/exor/exorUtil.c [ 94%] ABC: `` Compiling: /src/base/ver/verCore.c [ 94%] ABC: `` Compiling: /src/base/ver/verFormula.c [ 94%] ABC: `` Compiling: /src/base/ver/verParse.c [ 94%] ABC: `` Compiling: /src/base/ver/verStream.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbs.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbs2.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbc.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcPth.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcBlast.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcCom.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcGraft.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcJson.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcMem.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcNdr.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcNtk.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcReadVer.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcSim.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcShow.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcStdin.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcUif.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcWin.c [ 94%] ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c [ 94%] ABC: `` Compiling: /src/base/wln/wln.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnBlast.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnCom.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnGuide.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnMem.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnNdr.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnNtk.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnObj.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnRead.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnRetime.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnRtl.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnWlc.c [ 94%] ABC: `` Compiling: /src/base/wln/wlnWriteVer.c [ 94%] ABC: `` Compiling: /src/base/acb/acbAbc.c [ 94%] ABC: `` Compiling: /src/base/acb/acbAig.c [ 94%] ABC: `` Compiling: /src/base/acb/acbCom.c [ 94%] ABC: `` Compiling: /src/base/acb/acbFunc.c [ 94%] ABC: `` Compiling: /src/base/acb/acbMfs.c [ 94%] ABC: `` Compiling: /src/base/acb/acbPush.c [ 94%] ABC: `` Compiling: /src/base/acb/acbSets.c [ 94%] ABC: `` Compiling: /src/base/acb/acbTest.c [ 94%] ABC: `` Compiling: /src/base/acb/acbUtil.c [ 94%] ABC: `` Compiling: /src/base/bac/bacBlast.c [ 94%] ABC: `` Compiling: /src/base/bac/bacBac.c [ 94%] ABC: `` Compiling: /src/base/bac/bacCom.c [ 94%] ABC: `` Compiling: /src/base/bac/bacLib.c [ 94%] ABC: `` Compiling: /src/base/bac/bacNtk.c [ 94%] ABC: `` Compiling: /src/base/bac/bacPrsBuild.c [ 94%] ABC: `` Compiling: /src/base/bac/bacPrsTrans.c [ 94%] ABC: `` Compiling: /src/base/bac/bacPtr.c [ 94%] ABC: `` Compiling: /src/base/bac/bacPtrAbc.c [ 94%] ABC: `` Compiling: /src/base/bac/bacReadBlif.c [ 94%] ABC: `` Compiling: /src/base/bac/bacReadSmt.c [ 94%] ABC: `` Compiling: /src/base/bac/bacReadVer.c [ 94%] ABC: `` Compiling: /src/base/bac/bacWriteBlif.c [ 94%] ABC: `` Compiling: /src/base/bac/bacWriteSmt.c [ 94%] ABC: `` Compiling: /src/base/bac/bacWriteVer.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaBlast.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaCba.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaCom.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaNtk.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaReadBlif.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaReadVer.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c [ 94%] ABC: `` Compiling: /src/base/cba/cbaWriteVer.c [ 94%] ABC: `` Compiling: /src/base/pla/plaCom.c [ 94%] ABC: `` Compiling: /src/base/pla/plaHash.c [ 94%] ABC: `` Compiling: /src/base/pla/plaMan.c [ 94%] ABC: `` Compiling: /src/base/pla/plaMerge.c [ 94%] ABC: `` Compiling: /src/base/pla/plaSimple.c [ 94%] ABC: `` Compiling: /src/base/pla/plaRead.c [ 94%] ABC: `` Compiling: /src/base/pla/plaWrite.c [ 94%] ABC: `` Compiling: /src/base/test/test.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapper.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperCanon.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperCore.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperCreate.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperCut.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperLib.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperMatch.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperRefs.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperSuper.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperSwitch.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperTable.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperTime.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperTree.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperTruth.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperUtils.c [ 94%] ABC: `` Compiling: /src/map/mapper/mapperVec.c [ 94%] ABC: `` Compiling: /src/map/mio/mio.c [ 94%] ABC: `` Compiling: /src/map/mio/mioApi.c [ 94%] ABC: `` Compiling: /src/map/mio/mioFunc.c [ 94%] ABC: `` Compiling: /src/map/mio/mioParse.c [ 94%] ABC: `` Compiling: /src/map/mio/mioRead.c [ 94%] ABC: `` Compiling: /src/map/mio/mioSop.c [ 94%] ABC: `` Compiling: /src/map/mio/mioUtils.c [ 94%] ABC: `` Compiling: /src/map/super/super.c [ 94%] ABC: `` Compiling: /src/map/super/superAnd.c [ 94%] ABC: `` Compiling: /src/map/super/superGate.c [ 94%] ABC: `` Compiling: /src/map/if/ifCom.c [ 94%] ABC: `` Compiling: /src/map/if/ifCache.c [ 94%] ABC: `` Compiling: /src/map/if/ifCore.c [ 94%] ABC: `` Compiling: /src/map/if/ifCut.c [ 94%] ABC: `` Compiling: /src/map/if/ifData2.c [ 94%] ABC: `` Compiling: /src/map/if/ifDec07.c [ 94%] ABC: `` Compiling: /src/map/if/ifDec08.c [ 94%] ABC: `` Compiling: /src/map/if/ifDec10.c [ 94%] ABC: `` Compiling: /src/map/if/ifDec16.c [ 94%] ABC: `` Compiling: /src/map/if/ifDec75.c [ 94%] ABC: `` Compiling: /src/map/if/ifDelay.c [ 94%] ABC: `` Compiling: /src/map/if/ifDsd.c [ 94%] ABC: `` Compiling: /src/map/if/ifLibBox.c [ 94%] ABC: `` Compiling: /src/map/if/ifLibLut.c [ 94%] ABC: `` Compiling: /src/map/if/ifMan.c [ 94%] ABC: `` Compiling: /src/map/if/ifMap.c [ 94%] ABC: `` Compiling: /src/map/if/ifMatch2.c [ 94%] ABC: `` Compiling: /src/map/if/ifReduce.c [ 94%] ABC: `` Compiling: /src/map/if/ifSat.c [ 94%] ABC: `` Compiling: /src/map/if/ifSelect.c [ 94%] ABC: `` Compiling: /src/map/if/ifSeq.c [ 94%] ABC: `` Compiling: /src/map/if/ifTest.c [ 94%] ABC: `` Compiling: /src/map/if/ifTime.c [ 94%] ABC: `` Compiling: /src/map/if/ifTruth.c [ 94%] ABC: `` Compiling: /src/map/if/ifTune.c [ 94%] ABC: `` Compiling: /src/map/if/ifUtil.c [ 94%] ABC: `` Compiling: /src/map/amap/amapCore.c [ 94%] ABC: `` Compiling: /src/map/amap/amapGraph.c [ 94%] ABC: `` Compiling: /src/map/amap/amapLib.c [ 94%] ABC: `` Compiling: /src/map/amap/amapLiberty.c [ 94%] ABC: `` Compiling: /src/map/amap/amapMan.c [ 94%] ABC: `` Compiling: /src/map/amap/amapMatch.c [ 94%] ABC: `` Compiling: /src/map/amap/amapMerge.c [ 94%] ABC: `` Compiling: /src/map/amap/amapOutput.c [ 94%] ABC: `` Compiling: /src/map/amap/amapParse.c [ 94%] ABC: `` Compiling: /src/map/amap/amapPerm.c [ 94%] ABC: `` Compiling: /src/map/amap/amapRead.c [ 94%] ABC: `` Compiling: /src/map/amap/amapRule.c [ 94%] ABC: `` Compiling: /src/map/amap/amapUniq.c [ 94%] ABC: `` Compiling: /src/map/cov/covBuild.c [ 94%] ABC: `` Compiling: /src/map/cov/covCore.c [ 94%] ABC: `` Compiling: /src/map/cov/covMan.c [ 94%] ABC: `` Compiling: /src/map/cov/covMinEsop.c [ 94%] ABC: `` Compiling: /src/map/cov/covMinMan.c [ 94%] ABC: `` Compiling: /src/map/cov/covMinSop.c [ 94%] ABC: `` Compiling: /src/map/cov/covMinUtil.c [ 94%] ABC: `` Compiling: /src/map/scl/scl.c [ 94%] ABC: `` Compiling: /src/map/scl/sclBuffer.c [ 94%] ABC: `` Compiling: /src/map/scl/sclBufSize.c [ 94%] ABC: `` Compiling: /src/map/scl/sclDnsize.c [ 94%] ABC: `` Compiling: /src/map/scl/sclLiberty.c [ 94%] ABC: `` Compiling: /src/map/scl/sclLibScl.c [ 94%] ABC: `` Compiling: /src/map/scl/sclLibUtil.c [ 94%] ABC: `` Compiling: /src/map/scl/sclLoad.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from src/map/scl/sclLib.h:34, from src/map/scl/sclLibUtil.c:21: ./src/misc/vec/vecFlt.h: In function 'int abc::Abc_SclComputeParametersPin(abc::SC_Lib*, abc::SC_Cell*, int, float, float*, float*)': ./src/misc/vec/vecFlt.h:366:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/map/scl/sclSize.c [ 94%] ABC: `` Compiling: /src/map/scl/sclUpsize.c [ 94%] ABC: `` Compiling: /src/map/scl/sclUtil.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmAbc.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmCore.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmDsd.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmGates.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmLib.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmMan.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmMap.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmMig.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmPre.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmTruth.c [ 94%] ABC: `` Compiling: /src/map/mpm/mpmUtil.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCube.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilFile.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilGen.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMult.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilPath.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilReader.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c [ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcApi.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCompare.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcContain.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCover.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcDivide.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCube.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcList.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcLits.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcMan.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcPrint.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcSort.c [ 94%] ABC: `` Compiling: /src/misc/mvc/mvcUtils.c [ 94%] ABC: `` Compiling: /src/misc/st/st.c [ 94%] ABC: `` Compiling: /src/misc/st/stmm.c [ 94%] ABC: `` Compiling: /src/misc/util/utilBridge.c [ 94%] ABC: `` Compiling: /src/misc/util/utilCex.c [ 94%] ABC: `` Compiling: /src/misc/util/utilColor.c [ 94%] ABC: `` Compiling: /src/misc/util/utilFile.c [ 94%] ABC: `` Compiling: /src/misc/util/utilIsop.c [ 94%] ABC: `` Compiling: /src/misc/util/utilNam.c [ 94%] ABC: `` Compiling: /src/misc/util/utilSignal.c [ 94%] ABC: `` Compiling: /src/misc/util/utilSort.c [ 94%] ABC: `` Compiling: /src/misc/nm/nmApi.c [ 94%] ABC: `` Compiling: /src/misc/nm/nmTable.c [ 94%] ABC: `` Compiling: /src/misc/tim/timBox.c [ 94%] ABC: `` Compiling: /src/misc/tim/timDump.c [ 94%] ABC: `` Compiling: /src/misc/tim/timMan.c [ 94%] ABC: `` Compiling: /src/misc/tim/timTime.c [ 94%] ABC: `` Compiling: /src/misc/tim/timTrav.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/blocksort.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/bzlib.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/compress.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/crctable.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/decompress.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/huffman.c [ 94%] ABC: `` Compiling: /src/misc/bzlib/randtable.c [ 94%] ABC: `` Compiling: /src/misc/zlib/adler32.c [ 94%] ABC: `` Compiling: /src/misc/zlib/compress_.c [ 94%] ABC: `` Compiling: /src/misc/zlib/crc32.c [ 94%] ABC: `` Compiling: /src/misc/zlib/deflate.c [ 94%] ABC: `` Compiling: /src/misc/zlib/gzclose.c [ 94%] ABC: `` Compiling: /src/misc/zlib/gzlib.c [ 94%] ABC: `` Compiling: /src/misc/zlib/gzread.c [ 94%] ABC: `` Compiling: /src/misc/zlib/gzwrite.c [ 94%] ABC: `` Compiling: /src/misc/zlib/infback.c [ 94%] ABC: `` Compiling: /src/misc/zlib/inffast.c [ 94%] ABC: `` Compiling: /src/misc/zlib/inflate.c [ 94%] ABC: `` Compiling: /src/misc/zlib/inftrees.c [ 94%] ABC: `` Compiling: /src/misc/zlib/trees.c In file included from src/misc/zlib/trees.c:127:0: src/misc/zlib/trees.h:75:49: warning: 'visibility' attribute ignored [-Wattributes] const uch ZLIB_INTERNAL _dist_code[DIST_CODE_LEN] = { ^ src/misc/zlib/trees.h:104:59: warning: 'visibility' attribute ignored [-Wattributes] const uch ZLIB_INTERNAL _length_code[MAX_MATCH-MIN_MATCH+1]= { ^ [ 94%] ABC: `` Compiling: /src/misc/zlib/uncompr.c [ 94%] ABC: `` Compiling: /src/misc/zlib/zutil.c [ 94%] ABC: `` Compiling: /src/misc/mem/mem.c [ 94%] ABC: `` Compiling: /src/misc/bar/bar.c [ 94%] ABC: `` Compiling: /src/misc/bbl/bblif.c [ 94%] ABC: `` Compiling: /src/misc/parse/parseEqn.c [ 94%] ABC: `` Compiling: /src/misc/parse/parseStack.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutApi.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutMan.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutMerge.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutCut.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutNode.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutOracle.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutPre22.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutSeq.c [ 94%] ABC: `` Compiling: /src/opt/cut/cutTruth.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxu.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuCreate.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuList.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuPair.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuPrint.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuReduce.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuSelect.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuSingle.c [ 94%] ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c In file included from ./src/misc/vec/vec.h:29:0, from ./src/base/abc/abc.h:34, from src/opt/fxu/fxuReduce.c:19: src/opt/fxu/fxuReduce.c: In function 'int abc::Fxu_PreprocessCubePairs(abc::Fxu_Matrix*, abc::Vec_Ptr_t*, int, int)': ./src/misc/util/abc_global.h:264:50: warning: argument 1 value '18446744073709551614' exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/opt/fxu/fxuReduce.c:89:22: note: in expansion of macro 'ABC_CALLOC' pnPairCounters = ABC_CALLOC( int, 2 * nBitsMax ); ^~~~~~~~~~ In file included from ./src/base/abc/abc.h:30:0, from src/opt/fxu/fxuReduce.c:19: /usr/include/stdlib.h:109:7: note: in a call to allocation function 'void* calloc(size_t, size_t)' declared here void *calloc(size_t, size_t); ^~~~~~ [ 94%] ABC: `` Compiling: /src/opt/fxch/Fxch.c [ 94%] ABC: `` Compiling: /src/opt/fxch/FxchDiv.c [ 94%] ABC: `` Compiling: /src/opt/fxch/FxchMan.c [ 94%] ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/opt/fxch/Fxch.h:22, from src/opt/fxch/FxchMan.c:18: ./src/misc/vec/vecWec.h: In function 'void abc::Fxch_ManExtractDivFromCubePairs(abc::Fxch_Man_t*, int)': ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrDec.c [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrEva.c [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrExp.c [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrLib.c ./src/misc/vec/vecWec.h: In function 'void abc::Fxch_ManUpdate(abc::Fxch_Man_t*, int)': ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrMan.c [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrPrint.c [ 94%] ABC: `` Compiling: /src/opt/rwr/rwrUtil.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsCore.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsDiv.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsInter.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsMan.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsResub.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsSat.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsStrash.c [ 94%] ABC: `` Compiling: /src/opt/mfs/mfsWin.c [ 94%] ABC: `` Compiling: /src/opt/sim/simMan.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSeq.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSupp.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSwitch.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSym.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSymSat.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSymSim.c [ 94%] ABC: `` Compiling: /src/opt/sim/simSymStr.c [ 94%] ABC: `` Compiling: /src/opt/sim/simUtils.c [ 94%] ABC: `` Compiling: /src/opt/ret/retArea.c [ 94%] ABC: `` Compiling: /src/opt/ret/retCore.c [ 94%] ABC: `` Compiling: /src/opt/ret/retDelay.c [ 94%] ABC: `` Compiling: /src/opt/ret/retFlow.c [ 94%] ABC: `` Compiling: /src/opt/ret/retIncrem.c [ 94%] ABC: `` Compiling: /src/opt/ret/retInit.c [ 94%] ABC: `` Compiling: /src/opt/ret/retLvalue.c [ 94%] ABC: `` Compiling: /src/opt/fret/fretMain.c [ 94%] ABC: `` Compiling: /src/opt/fret/fretFlow.c [ 94%] ABC: `` Compiling: /src/opt/fret/fretInit.c [ 94%] ABC: `` Compiling: /src/opt/fret/fretTime.c [ 94%] ABC: `` Compiling: /src/opt/res/resCore.c [ 94%] ABC: `` Compiling: /src/opt/res/resDivs.c [ 94%] ABC: `` Compiling: /src/opt/res/resFilter.c [ 94%] ABC: `` Compiling: /src/opt/res/resSat.c [ 94%] ABC: `` Compiling: /src/opt/res/resSim.c [ 94%] ABC: `` Compiling: /src/opt/res/resStrash.c [ 94%] ABC: `` Compiling: /src/opt/res/resWin.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkCore.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkCut.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMan.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMap.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMulti.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMux.c [ 94%] ABC: `` Compiling: /src/opt/lpk/lpkSets.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkAig.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkCheck.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkBidec.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkDfs.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkFanio.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkFlow.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMan.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMap.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMerge.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkObj.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkStrash.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkTiming.c [ 94%] ABC: `` Compiling: /src/opt/nwk/nwkUtil.c [ 94%] ABC: `` Compiling: /src/opt/rwt/rwtDec.c [ 94%] ABC: `` Compiling: /src/opt/rwt/rwtMan.c [ 94%] ABC: `` Compiling: /src/opt/rwt/rwtUtil.c [ 94%] ABC: `` Compiling: /src/opt/cgt/cgtAig.c [ 94%] ABC: `` Compiling: /src/opt/cgt/cgtCore.c [ 94%] ABC: `` Compiling: /src/opt/cgt/cgtDecide.c [ 94%] ABC: `` Compiling: /src/opt/cgt/cgtMan.c [ 94%] ABC: `` Compiling: /src/opt/cgt/cgtSat.c [ 94%] ABC: `` Compiling: /src/opt/csw/cswCore.c [ 94%] ABC: `` Compiling: /src/opt/csw/cswCut.c [ 94%] ABC: `` Compiling: /src/opt/csw/cswMan.c [ 94%] ABC: `` Compiling: /src/opt/csw/cswTable.c [ 94%] ABC: `` Compiling: /src/opt/dar/darBalance.c [ 94%] ABC: `` Compiling: /src/opt/dar/darCore.c [ 94%] ABC: `` Compiling: /src/opt/dar/darCut.c [ 94%] ABC: `` Compiling: /src/opt/dar/darData.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from src/opt/dar/darInt.h:34, from src/opt/dar/darBalance.c:21: ./src/misc/vec/vecPtr.h: In function 'int abc::Dar_BalanceFindLeft(abc::Vec_Ptr_t*)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecPtr.h: In function 'void abc::Dar_BalancePermute(abc::Aig_Man_t*, abc::Vec_Ptr_t*, int, int)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/opt/dar/darLib.c [ 94%] ABC: `` Compiling: /src/opt/dar/darMan.c [ 94%] ABC: `` Compiling: /src/opt/dar/darPrec.c [ 94%] ABC: `` Compiling: /src/opt/dar/darRefact.c [ 94%] ABC: `` Compiling: /src/opt/dar/darScript.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauCanon.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauCore.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauCount.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauDivs.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauDsd.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauEnum.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauGia.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauMerge.c In file included from src/opt/dau/dauCanon.c:22:0: ./src/misc/util/utilTruth.h: In function 'void abc::Abc_TtCofactorTest10(abc::word*, int, int)': ./src/misc/util/utilTruth.h:1906:5: warning: assuming signed overflow does not occur when assuming that (X + c) < X is always false [-Wstrict-overflow] if ( jVar < iVar ) ^~ [ 94%] ABC: `` Compiling: /src/opt/dau/dauNonDsd.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauNpn.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauNpn2.c [ 94%] ABC: `` Compiling: /src/opt/dau/dauTree.c [ 94%] ABC: `` Compiling: /src/opt/dsc/dsc.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmArea.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmCnf.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmCore.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmDec.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmLib.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmNtk.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmSat.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmTim.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmMit.c [ 94%] ABC: `` Compiling: /src/opt/sfm/sfmWin.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbd.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCnf.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCore.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCut.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCut2.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdLut.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdPath.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdSat.c [ 94%] ABC: `` Compiling: /src/opt/sbd/sbdWin.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satMem.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satInter.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satInterA.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satInterB.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satInterP.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satProof.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver2.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver2i.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver3.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satStore.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satTrace.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satTruth.c [ 94%] ABC: `` Compiling: /src/sat/bsat/satUtil.c [ 94%] ABC: `` Compiling: /src/sat/xsat/xsatSolver.c [ 94%] ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c [ 94%] ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c [ 94%] ABC: `` Compiling: /src/sat/satoko/solver.c [ 94%] ABC: `` Compiling: /src/sat/satoko/solver_api.c [ 94%] ABC: `` Compiling: /src/sat/satoko/cnf_reader.c [ 94%] ABC: `` Compiling: /src/sat/csat/csat_apis.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatActivity.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatClause.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatClauseVec.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatMem.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatOrderH.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatQueue.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatRead.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverApi.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverCore.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverIo.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatSort.c [ 94%] ABC: `` Compiling: /src/sat/msat/msatVec.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfCore.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfCut.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfData.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfFast.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfMan.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfMap.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfPost.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfUtil.c [ 94%] ABC: `` Compiling: /src/sat/cnf/cnfWrite.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBCore.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmci.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from ./src/proof/fra/fra.h:34, from src/sat/bmc/bmcBmc3.c:21: ./src/misc/vec/vecWec.h: In function 'int abc::Saig_ManBmcCreateCnf(abc::Gia_ManBmc_t*, abc::Aig_Obj_t*, int)': ./src/misc/vec/vecWec.h:156:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcChain.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcClp.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcEco.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcExpand.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcFault.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcFx.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcGen.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcICheck.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcInse.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcLoad.c In file included from ./src/misc/vec/vec.h:31:0, from ./src/aig/aig/aig.h:34, from ./src/aig/saig/saig.h:29, from src/sat/bmc/bmc.h:29, from src/sat/bmc/bmcICheck.c:21: In function 'abc::Vec_Int_t* abc::Vec_IntAlloc(int)', inlined from 'abc::Vec_Int_t* abc::Vec_IntStartNatural(int)' at ./src/misc/vec/vecInt.h:188:7, inlined from 'int abc::Bmc_PerformISearchOne(abc::Gia_Man_t*, int, int, int, int, int, abc::Vec_Int_t*)' at src/sat/bmc/bmcICheck.c:433:11: ./src/misc/vec/vecInt.h:104:24: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] p->pArray = p->nCap? ABC_ALLOC( int, p->nCap ) : NULL; ^ In file included from ./src/aig/aig/aig.h:30:0, from ./src/aig/saig/saig.h:29, from src/sat/bmc/bmc.h:29, from src/sat/bmc/bmcICheck.c:21: /usr/include/stdlib.h: In function 'int abc::Bmc_PerformISearchOne(abc::Gia_Man_t*, int, int, int, int, int, abc::Vec_Int_t*)': /usr/include/stdlib.h:117:7: note: in a call to allocation function 'void* malloc(size_t)' declared here void *malloc(size_t); ^~~~~~ [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMesh.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMulti.c [ 94%] ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c [ 94%] ABC: `` Compiling: /src/bool/bdc/bdcCore.c [ 94%] ABC: `` Compiling: /src/bool/bdc/bdcDec.c [ 94%] ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c [ 94%] ABC: `` Compiling: /src/bool/bdc/bdcTable.c [ 94%] ABC: `` Compiling: /src/bool/dec/decAbc.c [ 94%] ABC: `` Compiling: /src/bool/dec/decFactor.c [ 94%] ABC: `` Compiling: /src/bool/dec/decMan.c [ 94%] ABC: `` Compiling: /src/bool/dec/decPrint.c [ 94%] ABC: `` Compiling: /src/bool/dec/decUtil.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitAig.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitBdd.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitCloud.c [ 94%] ABC: `` Compiling: /src/bool/kit/cloud.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitDsd.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitFactor.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitGraph.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitHop.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitIsop.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitPla.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitSop.c [ 94%] ABC: `` Compiling: /src/bool/kit/kitTruth.c [ 94%] ABC: `` Compiling: /src/bool/lucky/lucky.c [ 94%] ABC: `` Compiling: /src/bool/lucky/luckyFast16.c [ 94%] ABC: `` Compiling: /src/bool/lucky/luckyFast6.c [ 94%] ABC: `` Compiling: /src/bool/lucky/luckyRead.c [ 94%] ABC: `` Compiling: /src/bool/lucky/luckySimple.c [ 94%] ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c [ 94%] ABC: `` Compiling: /src/bool/lucky/luckySwap.c [ 94%] ABC: `` Compiling: /src/bool/rsb/rsbDec6.c [ 94%] ABC: `` Compiling: /src/bool/rsb/rsbMan.c [ 94%] ABC: `` Compiling: /src/bool/rpo/rpo.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrCnf.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrCore.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrIncr.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrInv.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrMan.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrSat.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c [ 94%] ABC: `` Compiling: /src/proof/pdr/pdrUtil.c [ 94%] ABC: `` Compiling: /src/proof/abs/absDup.c [ 94%] ABC: `` Compiling: /src/proof/abs/absGla.c [ 94%] ABC: `` Compiling: /src/proof/abs/absGlaOld.c [ 94%] ABC: `` Compiling: /src/proof/abs/absIter.c [ 94%] ABC: `` Compiling: /src/proof/abs/absOldCex.c [ 94%] ABC: `` Compiling: /src/proof/abs/absOldRef.c [ 94%] ABC: `` Compiling: /src/proof/abs/absOldSat.c [ 94%] ABC: `` Compiling: /src/proof/abs/absOldSim.c [ 94%] ABC: `` Compiling: /src/proof/abs/absOut.c [ 94%] ABC: `` Compiling: /src/proof/abs/absPth.c [ 94%] ABC: `` Compiling: /src/proof/abs/absRef.c [ 94%] ABC: `` Compiling: /src/proof/abs/absRefSelect.c [ 94%] ABC: `` Compiling: /src/proof/abs/absRpm.c [ 94%] ABC: `` Compiling: /src/proof/abs/absRpmOld.c [ 94%] ABC: `` Compiling: /src/proof/abs/absVta.c [ 94%] ABC: `` Compiling: /src/proof/abs/absUtil.c [ 94%] ABC: `` Compiling: /src/proof/live/liveness.c [ 94%] ABC: `` Compiling: /src/proof/live/liveness_sim.c [ 94%] ABC: `` Compiling: /src/proof/live/ltl_parser.c [ 94%] ABC: `` Compiling: /src/proof/live/kliveness.c [ 94%] ABC: `` Compiling: /src/proof/live/monotone.c [ 94%] ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c [ 94%] ABC: `` Compiling: /src/proof/live/arenaViolation.c [ 94%] ABC: `` Compiling: /src/proof/live/kLiveConstraints.c [ 94%] ABC: `` Compiling: /src/proof/live/combination.c [ 94%] ABC: `` Compiling: /src/proof/ssc/sscClass.c [ 94%] ABC: `` Compiling: /src/proof/ssc/sscCore.c [ 94%] ABC: `` Compiling: /src/proof/ssc/sscSat.c [ 94%] ABC: `` Compiling: /src/proof/ssc/sscSim.c [ 94%] ABC: `` Compiling: /src/proof/ssc/sscUtil.c [ 94%] ABC: `` Compiling: /src/proof/int/intCheck.c [ 94%] ABC: `` Compiling: /src/proof/int/intContain.c [ 94%] ABC: `` Compiling: /src/proof/int/intCore.c [ 94%] ABC: `` Compiling: /src/proof/int/intCtrex.c [ 94%] ABC: `` Compiling: /src/proof/int/intDup.c [ 94%] ABC: `` Compiling: /src/proof/int/intFrames.c [ 94%] ABC: `` Compiling: /src/proof/int/intInter.c [ 94%] ABC: `` Compiling: /src/proof/int/intM114.c [ 94%] ABC: `` Compiling: /src/proof/int/intMan.c [ 94%] ABC: `` Compiling: /src/proof/int/intUtil.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecCec.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecChoice.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecClass.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecCore.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecCorr.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecIso.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecMan.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecPat.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSat.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSatG.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSatG2.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSatG3.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSeq.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSim.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSolve.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSolveG.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSplit.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSynth.c [ 94%] ABC: `` Compiling: /src/proof/cec/cecSweep.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecCl.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecCore.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecCo.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecBo.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecRe.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from ./src/aig/gia/gia.h:34, from src/proof/acec/acecInt.h:29, from src/proof/acec/acecCore.c:21: ./src/misc/vec/vecInt.h: In function 'int abc::Acec_Solve(abc::Gia_Man_t*, abc::Gia_Man_t*, abc::Acec_ParCec_t*)': ./src/misc/vec/vecInt.h:444:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecInt.h:444:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/proof/acec/acecPa.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecPo.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecPool.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecCover.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecFadds.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecMult.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecNorm.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecOrder.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecPolyn.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecSt.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecTree.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecUtil.c [ 94%] ABC: `` Compiling: /src/proof/acec/acec2Mult.c [ 94%] ABC: `` Compiling: /src/proof/acec/acecXor.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchAig.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchChoice.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchClass.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchCnf.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchCore.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchMan.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchSat.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchSim.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchSimSat.c [ 94%] ABC: `` Compiling: /src/proof/dch/dchSweep.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigApi.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigCanon.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigFanout.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigFeed.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigMan.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigMem.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigNode.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigPrime.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigSat.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigTable.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigUtil.c [ 94%] ABC: `` Compiling: /src/proof/fraig/fraigVec.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraBmc.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraCec.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraClass.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraClau.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraClaus.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraCnf.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraCore.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraHot.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraImp.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraInd.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraIndVer.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraLcr.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraMan.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraPart.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraSat.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraSec.c [ 94%] ABC: `` Compiling: /src/proof/fra/fraSim.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswAig.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswBmc.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswClass.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswCnf.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswConstr.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswCore.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswDyn.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswFilter.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswIslands.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswLcorr.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswMan.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswPart.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswPairs.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswRarity.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswSat.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswSemi.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswSim.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswSimSat.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswSweep.c [ 94%] ABC: `` Compiling: /src/proof/ssw/sswUnique.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigCheck.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigCanon.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigCuts.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigDfs.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigDup.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigFanout.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigFrames.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigInter.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigJust.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigMan.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigMem.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigMffc.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigObj.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigOper.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigOrder.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigPack.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigPart.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigPartReg.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigPartSat.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigRepr.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigRet.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigRetF.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigScl.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigShow.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigSplit.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigTable.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigTiming.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigTruth.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigTsim.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigUtil.c [ 94%] ABC: `` Compiling: /src/aig/aig/aigWin.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigCone.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigConstr.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigConstr2.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigDual.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigDup.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigInd.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigIoa.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigIso.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigIsoFast.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigMiter.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigOutDec.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigPhase.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigRetFwd.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigRetMin.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigRetStep.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigScl.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigSimFast.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigSimMv.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigSimSeq.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigStrSim.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigSwitch.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigSynch.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigTempor.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigTrans.c [ 94%] ABC: `` Compiling: /src/aig/saig/saigWnd.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaAig.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaAgi.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaAiger.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaAigerExt.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaBalAig.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaBalLut.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaBalMap.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaBalAig.c:21: ./src/misc/vec/vecInt.h: In function 'void abc::Gia_ManPrepareLastTwo(abc::Gia_Man_t*, abc::Vec_Int_t*)': ./src/misc/vec/vecInt.h:444:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/aig/gia/giaBidec.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCCof.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCex.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaClp.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCof.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCone.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCSatOld.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat3.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCSatP.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCTas.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaCut.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaDecs.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaDeep.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaDfs.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaDup.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaEdge.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaEmbed.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaEnable.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaEquiv.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaEra.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaEra.c:21: ./src/misc/vec/vecPtr.h: In function 'int abc::Gia_ManCountDepth(abc::Gia_ManEra_t*)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/aig/gia/giaEra2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaEsop.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaExist.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaFalse.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaFanout.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaForce.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaFrames.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaFront.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaFx.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaGen.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaGig.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaGlitch.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaHash.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaIf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaIff.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaIiff.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaIso.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaIso2.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaIso.c:21: ./src/misc/vec/vecInt.h: In function 'void abc::Gia_IsoAssignOneClass3(abc::Gia_IsoMan_t*)': ./src/misc/vec/vecInt.h:444:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecInt.h: In function 'void abc::Gia_IsoAssignOneClass(abc::Gia_IsoMan_t*, int)': ./src/misc/vec/vecInt.h:444:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/aig/gia/giaIso3.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaJf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaKf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaLf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMan.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMem.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMfs.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMini.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMinLut.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMinLut2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaMuxes.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaNf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaOf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaPack.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaPat.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaPat2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaPf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaQbf.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaReshape1.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaReshape2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaResub.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaResub2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaResub3.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaResub6.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaRetime.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaRex.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSatEdge.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSatLE.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSatLut.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSatMap.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSatoko.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSatSyn.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSat3.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaScl.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaScript.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaShow.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink6.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink7.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSif.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSim.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSim2.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSimBase.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSort.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSpeedup.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSplit.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaStg.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaStoch.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaStr.c In file included from ./src/misc/vec/vec.h:35:0, from src/aig/gia/gia.h:34, from src/aig/gia/giaStg.c:21: In function 'abc::Vec_Vec_t* abc::Vec_VecAlloc(int)', inlined from 'abc::Vec_Vec_t* abc::Vec_VecStart(int)' at ./src/misc/vec/vecVec.h:172:7, inlined from 'abc::Gia_Man_t* abc::Gia_ManStgOneHot(abc::Vec_Int_t*, int, int, int)' at src/aig/gia/giaStg.c:347:15: ./src/misc/vec/vecVec.h:153:24: warning: argument 1 range [18446744056529682432, 18446744073709551608] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] p->pArray = p->nCap? ABC_ALLOC( void *, p->nCap ) : NULL; ^ In file included from src/aig/gia/gia.h:30:0, from src/aig/gia/giaStg.c:21: /usr/include/stdlib.h: In function 'abc::Gia_Man_t* abc::Gia_ManStgOneHot(abc::Vec_Int_t*, int, int, int)': /usr/include/stdlib.h:117:7: note: in a call to allocation function 'void* malloc(size_t)' declared here void *malloc(size_t); ^~~~~~ [ 94%] ABC: `` Compiling: /src/aig/gia/giaSupMin.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSupp.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSupps.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSweep.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSweeper.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaSwitch.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaTim.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaTis.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaTranStoch.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaTruth.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaTsim.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaUnate.c [ 94%] ABC: `` Compiling: /src/aig/gia/giaUtil.c [ 94%] ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c [ 94%] ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c [ 94%] ABC: `` Compiling: /src/aig/ioa/ioaUtil.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyBalance.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCanon.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCheck.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/st/st.h:18, from ./src/misc/extra/extra.h:46, from src/aig/ivy/ivy.h:30, from src/aig/ivy/ivyBalance.c:21: ./src/misc/vec/vecPtr.h: In function 'abc::Ivy_Obj_t* abc::Ivy_NodeBalanceBuildSuper(abc::Ivy_Man_t*, abc::Vec_Ptr_t*, abc::Ivy_Type_t, int)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCut.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyDfs.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyDsd.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFanout.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFraig.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyHaig.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMan.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMem.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMulti.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyObj.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyOper.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyResyn.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyRwr.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivySeq.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyShow.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyTable.c [ 94%] ABC: `` Compiling: /src/aig/ivy/ivyUtil.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopBalance.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopCheck.c In file included from ./src/misc/util/abc_global.h:79:0, from ./src/misc/vec/vec.h:29, from src/aig/hop/hop.h:34, from src/aig/hop/hopBalance.c:21: ./src/misc/vec/vecPtr.h: In function 'abc::Hop_Obj_t* abc::Hop_NodeBalanceBuildSuper(abc::Hop_Man_t*, abc::Vec_Ptr_t*, abc::Hop_Type_t, int)': ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ ./src/misc/vec/vecPtr.h:388:20: warning: assuming signed overflow does not occur when assuming that (X - c) <= X is always true [-Wstrict-overflow] assert( i >= 0 && i < p->nSize ); ^ [ 94%] ABC: `` Compiling: /src/aig/hop/hopDfs.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopMan.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopMem.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopObj.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopOper.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopTable.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopTruth.c [ 94%] ABC: `` Compiling: /src/aig/hop/hopUtil.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddApa.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCache.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddClip.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCof.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddExact.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddExport.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddInit.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddRead.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddRef.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSat.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSign.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddTable.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c [ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c [ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c [ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdApi.c [ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c [ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c [ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdMan.c [ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdProc.c [ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdTree.c [ 94%] ABC: `` Compiling: /src/bdd/epd/epd.c [ 94%] ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c [ 94%] ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoApi.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoCore.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoProfile.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoShuffle.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoSift.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoSwap.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoTransfer.c [ 94%] ABC: `` Compiling: /src/bdd/reo/reoUnits.c [ 94%] ABC: `` Compiling: /src/bdd/cas/casCore.c [ 94%] ABC: `` Compiling: /src/bdd/cas/casDec.c [ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrCex.c [ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrImage.c [ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c [ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrReach.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Constr.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Core.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Group.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Hint.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Man.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Reach.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Sched.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Bad.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Core.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Driver.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Dump.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Flow.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Image.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb3Image.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Cex.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Image.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c [ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c [ 94%] ABC: `` Building binary: abc-896e5e7 [100%] Building yosys-abc Build successful.